repo_name
stringlengths 6
79
| path
stringlengths 4
249
| size
int64 1.02k
768k
| content
stringlengths 15
207k
| license
stringclasses 14
values |
---|---|---|---|---|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/clkdlyinv3sd2/sky130_fd_sc_ls__clkdlyinv3sd2.symbol.v
| 1,357 |
module MODULE1 (
input VAR2,
output VAR6
);
supply1 VAR3;
supply0 VAR4;
supply1 VAR5 ;
supply0 VAR1 ;
endmodule
|
apache-2.0
|
johan92/altera_opencl_sandbox
|
vector_add/bin_vector_add/system/synthesis/submodules/acl_valid_fifo_counter.v
| 3,709 |
module MODULE1
parameter integer VAR2 = 32, parameter integer VAR17 = 0, parameter integer VAR16 = 0 )
(
input logic VAR4,
input logic VAR1,
input logic VAR5,
output logic VAR9,
input logic VAR3,
output logic VAR12,
output logic VAR6,
output logic VAR8
);
localparam VAR10 = (VAR17 == 0) ?
((VAR2 > 1 ? VAR11(VAR2-1) : 0) + 2) :
(VAR11(VAR2) + 1);
logic [VAR10 - 1:0] VAR15 ;
logic VAR13, VAR14;
assign VAR6 = VAR15[VAR7(VAR15) - 1];
assign VAR8 = (VAR17 == 0) ?
(~VAR15[VAR7(VAR15) - 1] & VAR15[VAR7(VAR15) - 2]) :
(VAR15 == VAR2 - 1);
assign VAR13 = VAR5 & ~VAR12;
assign VAR14 = VAR9 & ~VAR3;
assign VAR9 = ~VAR6;
assign VAR12 = VAR16 ? (VAR8 & VAR3) : VAR8;
always @( posedge VAR4 or negedge VAR1 )
if( !VAR1 )
VAR15 <= {VAR7(VAR15){1'b1}}; else
VAR15 <= VAR15 + VAR13 - VAR14;
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/o2bb2ai/sky130_fd_sc_ms__o2bb2ai_2.v
| 2,411 |
module MODULE2 (
VAR1 ,
VAR2,
VAR4,
VAR10 ,
VAR7 ,
VAR9,
VAR11,
VAR3 ,
VAR8
);
output VAR1 ;
input VAR2;
input VAR4;
input VAR10 ;
input VAR7 ;
input VAR9;
input VAR11;
input VAR3 ;
input VAR8 ;
VAR6 VAR5 (
.VAR1(VAR1),
.VAR2(VAR2),
.VAR4(VAR4),
.VAR10(VAR10),
.VAR7(VAR7),
.VAR9(VAR9),
.VAR11(VAR11),
.VAR3(VAR3),
.VAR8(VAR8)
);
endmodule
module MODULE2 (
VAR1 ,
VAR2,
VAR4,
VAR10 ,
VAR7
);
output VAR1 ;
input VAR2;
input VAR4;
input VAR10 ;
input VAR7 ;
supply1 VAR9;
supply0 VAR11;
supply1 VAR3 ;
supply0 VAR8 ;
VAR6 VAR5 (
.VAR1(VAR1),
.VAR2(VAR2),
.VAR4(VAR4),
.VAR10(VAR10),
.VAR7(VAR7)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/dfrtp/sky130_fd_sc_hdll__dfrtp.blackbox.v
| 1,345 |
module MODULE1 (
VAR4 ,
VAR8 ,
VAR2 ,
VAR1
);
output VAR4 ;
input VAR8 ;
input VAR2 ;
input VAR1;
supply1 VAR7;
supply0 VAR5;
supply1 VAR6 ;
supply0 VAR3 ;
endmodule
|
apache-2.0
|
vad-rulezz/megabot
|
minsoc/rtl/verilog/or1200/rtl/verilog/or1200_spram_2048x8.v
| 10,553 |
module MODULE1(
VAR50, VAR38, VAR18,
clk, rst, VAR5, VAR21, VAR46, addr, VAR32, VAR11
);
parameter VAR28 = 11;
parameter VAR29 = 8;
input VAR50;
input [VAR47 - 1:0] VAR18;
output VAR38;
input clk; input rst; input VAR5; input VAR21; input VAR46; input [VAR28-1:0] addr; input [VAR29-1:0] VAR32; output [VAR29-1:0] VAR11;
assign VAR38 = VAR50;
VAR49 #(VAR29, 1<<VAR28, VAR28) VAR19(
VAR26 VAR19(
VAR49 VAR19(
.VAR50(VAR50),
.VAR38(VAR38),
.VAR18(VAR18),
.VAR45(clk),
.VAR16(~VAR5),
.VAR12(~VAR21),
.VAR4(addr),
.VAR54(VAR32),
.VAR17(~VAR46),
.VAR31(VAR11)
);
VAR23 VAR23(
.VAR34(~VAR21),
.VAR55(),
.VAR3(~VAR46),
.VAR52(),
.VAR42(),
.VAR2(addr),
.VAR40(addr),
.VAR32(VAR32),
.VAR11(VAR11)
);
VAR51 VAR51(
.clk(clk),
.VAR7(addr),
.VAR25(VAR32),
.VAR21(VAR21),
.VAR46(VAR46),
.VAR35(VAR5),
.VAR33(VAR11)
);
VAR37 #(1<<VAR28, VAR28-1, VAR29-1) VAR8(
VAR43 VAR8(
VAR37 VAR8(
.VAR50(VAR50),
.VAR38(VAR38),
.VAR18(VAR18),
.VAR15(clk),
.VAR1(addr),
.VAR30(VAR32),
.VAR12(~VAR21),
.VAR16(~VAR5),
.VAR17(~VAR46),
.VAR27(VAR11)
);
VAR24 VAR48(
.VAR45(clk),
.VAR13(rst),
.VAR44(addr),
.VAR30(VAR32[1:0]),
.VAR41(VAR5),
.VAR10(VAR21),
.VAR6(VAR11[1:0])
);
VAR24 VAR9(
.VAR45(clk),
.VAR13(rst),
.VAR44(addr),
.VAR30(VAR32[3:2]),
.VAR41(VAR5),
.VAR10(VAR21),
.VAR6(VAR11[3:2])
);
VAR24 VAR20(
.VAR45(clk),
.VAR13(rst),
.VAR44(addr),
.VAR30(VAR32[5:4]),
.VAR41(VAR5),
.VAR10(VAR21),
.VAR6(VAR11[5:4])
);
VAR24 VAR56(
.VAR45(clk),
.VAR13(rst),
.VAR44(addr),
.VAR30(VAR32[7:6]),
.VAR41(VAR5),
.VAR10(VAR21),
.VAR6(VAR11[7:6])
);
VAR14 VAR39(
.VAR45(clk),
.VAR36(rst),
.VAR44(addr),
.VAR30(VAR32),
.VAR53(1'b0),
.VAR41(VAR5),
.VAR10(VAR21),
.VAR6(VAR11),
.VAR22()
);
wire wr;
assign wr = VAR5 & VAR21;
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/inputiso1p/sky130_fd_sc_lp__inputiso1p.pp.symbol.v
| 1,357 |
module MODULE1 (
input VAR3 ,
output VAR5 ,
input VAR2,
input VAR4 ,
input VAR6 ,
input VAR1 ,
input VAR7
);
endmodule
|
apache-2.0
|
ckdur/mriscv_vivado_arty
|
mriscv_vivado.srcs/sources_1/ip/ddr3/ddr3/example_design/rtl/traffic_gen/mig_7series_v4_0_memc_flow_vcontrol.v
| 15,757 |
module MODULE1 #
(
parameter VAR39 = 100,
parameter VAR55 = 4,
parameter VAR37 = 32,
parameter VAR25 = 6,
parameter VAR60 = 4,
parameter VAR41 = "VAR62",
parameter VAR72 = "VAR74"
)
(
input VAR69,
input [9:0] VAR8,
input [3:0] VAR40,
input [5:0] VAR57,
input VAR65,
output reg VAR44,
input VAR54,
input [2:0] VAR64,
input [31:0] VAR20,
input [VAR25 - 1:0] VAR22,
input VAR42,
input VAR33,
output reg [2:0] VAR45,
output [31:0] VAR2,
output reg [VAR25-1:0] VAR18,
output VAR11, output reg VAR26,
input VAR7,
input VAR52,
input VAR77,
output reg VAR49,
output reg VAR71,
output reg VAR31,
output [31:0] VAR13,
output [VAR25-1:0] VAR76,
input VAR66,
output reg VAR30,
output [31:0] VAR10,
output [VAR25-1:0] VAR43
);
localparam VAR3 = 4'b0001,
VAR56 = 4'b0010,
VAR51 = 4'b0100,
VAR34 = 4'b1000;
localparam VAR67 = 3'b001;
localparam VAR75 = 3'b011;
localparam VAR4 = 3'b000;
localparam VAR68 = 3'b010;
localparam VAR14 = 3'b100;
localparam VAR23 = 3'b101;
reg VAR6;
reg VAR35;
reg VAR1;
reg [31:0] VAR19;
reg [2:0] VAR28;
reg [31:0] VAR29;
reg [VAR25-1:0] VAR63;
reg [VAR25:0] VAR21;
reg VAR48;
reg VAR59,VAR73,VAR61;
reg [3:0] VAR9;
reg [3:0] VAR32;
reg VAR24;
reg VAR70;
reg VAR53;
reg VAR36;
reg VAR5;
reg [5:0] VAR17;
reg VAR15;
reg VAR47;
reg VAR58;
reg [3:0] VAR27;
reg VAR46;
wire VAR50;
wire VAR12;
always @ (posedge VAR69) begin
if (VAR40 == 4'b1000 || VAR41 == "VAR62")
end
else
end
always @(posedge VAR69) begin
if (VAR8[0]) begin
VAR17 <= 6'b00000;
VAR15 <= 1'b1;
end
else if (VAR57 == 5'd0)
VAR15 <= 1'b1;
end
else if (VAR53 || VAR36 ||
VAR5 || VAR44) begin
VAR17 <= 6'b00000;
VAR15 <= 1'b0;
end
else if (VAR17 == VAR57) begin
VAR17 <= VAR17;
VAR15 <= 1'b1;
end
else
VAR17 <= VAR17 + 1'b1;
end
assign VAR11 = (VAR41 == "VAR16") ? VAR70 : (~VAR70 & VAR47) ;
always @ (posedge VAR69)
always @ (posedge VAR69) begin
if (VAR8[8])
end
else if (VAR21 == 1 && (!VAR42 && VAR70 ))
else if ((VAR5 || VAR36 && VAR72 != "VAR38") ||
(VAR7 && VAR72 == "VAR38"))
else if (!VAR42)
end
always @ (posedge VAR69)
if (VAR8[8])
else
VAR47 <= VAR70;
always @ (posedge VAR69) begin
if (VAR8[8])
end
else if (VAR21 == 1 && !VAR42 && VAR5 && VAR70)
else if (VAR5)
else if (!VAR42)
end
always @ (posedge VAR69) begin
if (VAR8[9])
end
else if (VAR70 || VAR42)
else if (!VAR42)
end
always @ (posedge VAR69) begin
if (VAR8[9]) begin
end
else if (VAR24 && VAR9 == VAR56) begin
end
else if (VAR24 && VAR9 == VAR51) begin
if (VAR41 == "VAR62")
end
else
end
end
always @ (posedge VAR69)
if ((VAR35 && VAR65) | VAR8)
else if (VAR35 && !VAR65)
always @ (posedge VAR69) begin
if (VAR35 && VAR50 || VAR8[0])
end
else if (VAR24 && VAR9 != VAR56)
else if ((VAR36 || VAR5) &&
VAR70 && ~VAR42) begin
if (VAR72 == "VAR38")
{VAR46,VAR19[31:0]} <= VAR2 + 1;
end
else
{VAR46,VAR19[31:0]} <= VAR2 + VAR27;
end
end
assign VAR2 = VAR19;
assign VAR13 = VAR20;
assign VAR10 = VAR20;
assign VAR43 = VAR22;
assign VAR76 = VAR22;
always @ (posedge VAR69) begin
VAR49 <= VAR59;
VAR71 <= VAR73;
VAR31 <= VAR61;
end
always @ (posedge VAR69)
VAR30 <= VAR48;
always @(posedge VAR69)
always @(posedge VAR69)
if (VAR35) begin
end
always @ (posedge VAR69)
begin
if (VAR8[8])
end
else if (VAR24) begin
if (VAR22 == 0) begin
if (VAR60 == 8) begin
if (VAR55 == 4)
end
else
end
else
VAR21 <= {1'b0,{VAR25{1'b1}}} ; end
else begin
if (VAR60 == 8) begin
if (VAR55 == 4)
VAR21 <= {1'b0,VAR22};
end
else
VAR21 <= {3'b000,VAR22[VAR25-2:1]};
end
else
VAR21 <= {1'b0,VAR22}; end
end
else if ((VAR36 || VAR5) && VAR70 && ~VAR42) begin
if (VAR21 > 0) begin
if (VAR41 == "VAR16")
VAR21 <= VAR21 - 1'b1;
end
else if (VAR36)
VAR21 <= VAR21 - 1'b1;
end
else
VAR21 <= 0;
end
end
end
assign VAR12 = ((VAR64 == VAR4 | VAR64 == VAR68) & VAR54) ? 1'b1 : 1'b0;
assign VAR50 = ((VAR64 == VAR67 | VAR64 == VAR75) & VAR54) ? 1'b1 : 1'b0;
always @ (posedge VAR69) begin
if (VAR8[0])
end
else if (VAR52)
else if (VAR35 & VAR12)
end
always @ (posedge VAR69) begin
if (VAR8[0])
end
else if (VAR52)
else if (VAR35 && VAR12)
end
always @ (posedge VAR69) begin
if (VAR8[0])
end
else if (VAR12 && VAR24)
else if (VAR21 == 0 || (VAR21 == 1 && ~VAR42))
end
always @ (posedge VAR69) begin
if (VAR8[0])
end
else if (VAR50 && VAR24)
else if (VAR21 <= 1)
end
always @ (posedge VAR69)
if (VAR8[0])
else
always @ (*) begin
VAR35 = 1'b0;
VAR59 = 1'b0;
VAR73 = 1'b0;
VAR61 = 1'b0;
VAR48 = 1'b0;
VAR1 = 1'b0;
VAR32 = VAR9;
case(VAR9)
VAR3: begin
if (VAR66 && VAR50 && ~VAR42) begin
VAR32 = VAR56;
VAR35 = 1'b1;
VAR48 = 1'b1;
VAR1 = 1'b1;
end
else if (VAR77 && VAR12 && ~VAR42) begin
VAR32 = VAR51;
VAR35 = 1'b1;
VAR59 = 1'b1;
VAR73 = 1'b1;
VAR61 = 1'b1;
VAR1 = 1'b1;
end
else begin
VAR32 = VAR3;
VAR35 = 1'b0;
VAR1 = 1'b0;
end
end
VAR56: begin
if (VAR5) begin
VAR32 = VAR56;
VAR35 = 1'b0;
VAR48 = 1'b0;
VAR59 = 1'b0;
end
else if (!VAR66) begin
VAR32 = VAR56;
VAR35 = 1'b0;
VAR59 = 1'b0;
VAR73 = 1'b0;
VAR61 = 1'b0;
VAR48 = 1'b0;
end
else if (~VAR6 && ~VAR5 && VAR15) begin
VAR32 = VAR34;
end
else if (VAR15 && ~VAR24) begin
VAR32 = VAR3;
VAR1 = 1'b0;
end
else
VAR32 = VAR56;
end
VAR51: begin
if (VAR53 || VAR36 || VAR24) begin
VAR32 = VAR51;
VAR59 = 1'b0;
VAR73 = 1'b0;
VAR61 = 1'b0;
VAR35 = 1'b0;
end
else if (!VAR6 && VAR52 && VAR15) begin
VAR32 = VAR34;
VAR35 = 1'b0;
end
else if (VAR15) begin
VAR32 = VAR3;
end
else
VAR32 = VAR51;
VAR1 = 1'b0;
end
VAR34: begin
if (!VAR6 || VAR53) begin
VAR32 = VAR34;
VAR1 = 1'b0;
end
else if (VAR6 && VAR66 && VAR50) begin
VAR32 = VAR3;
VAR35 = 1'b0;
VAR1 = 1'b0;
VAR48 = 1'b0;
end
else if (VAR6 && VAR12 && VAR15 &&
VAR58) begin
VAR32 = VAR3;
VAR35 = 1'b0;
VAR1 = 1'b0;
VAR59 = 1'b0;
VAR73 = 1'b0;
VAR61 = 1'b0;
end
else begin
VAR32 = VAR34;
VAR1 = 1'b0;
end
end
default: begin
VAR35 = 1'b0;
VAR59 = 1'b0;
VAR73 = 1'b0;
VAR61 = 1'b0;
VAR32 = VAR3;
end
endcase
end
endmodule
|
mit
|
r2t2sdr/r2t2
|
fpga/modules/adi_hdl/library/axi_ad6676/axi_ad6676_channel.v
| 5,392 |
module MODULE1 (
VAR19,
VAR28,
VAR22,
VAR29,
VAR26,
VAR53,
VAR14,
VAR15,
VAR48,
VAR25,
VAR56,
VAR24,
VAR32,
VAR43,
VAR47,
VAR38,
VAR2,
VAR10,
VAR7);
parameter VAR55 = 0;
parameter VAR4 = 0;
input VAR19;
input VAR28;
input [31:0] VAR22;
input VAR29;
output [31:0] VAR26;
output VAR53;
output VAR14;
output VAR15;
output VAR48;
input VAR25;
input VAR56;
input VAR24;
input [13:0] VAR32;
input [31:0] VAR43;
output VAR47;
input VAR38;
input [13:0] VAR2;
output [31:0] VAR10;
output VAR7;
wire VAR17;
wire VAR41;
wire [ 3:0] VAR46;
VAR1 VAR16 (
.VAR19 (VAR19),
.VAR22 (VAR22),
.VAR8 (VAR17),
.VAR21 (VAR41),
.VAR51 (VAR46));
assign VAR26 = VAR22;
VAR13 #(.VAR42(VAR4)) VAR18 (
.VAR19 (VAR19),
.VAR28 (VAR28),
.VAR53 (VAR53),
.VAR11 (),
.VAR20 (),
.VAR31 (),
.VAR9 (),
.VAR45 (),
.VAR12 (),
.VAR37 (),
.VAR54 (),
.VAR35 (),
.VAR51 (VAR46),
.VAR44 (),
.VAR21 (VAR41),
.VAR8 (VAR17),
.VAR29 (VAR29),
.VAR14 (VAR14),
.VAR15 (VAR15),
.VAR48 (VAR48),
.VAR27 (),
.VAR6 (),
.VAR30 (),
.VAR40 (),
.VAR49 (),
.VAR34 (),
.VAR39 (),
.VAR52 (1'b0),
.VAR33 (1'b1),
.VAR5 (8'd0),
.VAR50 (8'd16),
.VAR3 (8'd16),
.VAR23 (16'd1),
.VAR36 (16'd1),
.VAR25 (VAR25),
.VAR56 (VAR56),
.VAR24 (VAR24),
.VAR32 (VAR32),
.VAR43 (VAR43),
.VAR47 (VAR47),
.VAR38 (VAR38),
.VAR2 (VAR2),
.VAR10 (VAR10),
.VAR7 (VAR7));
endmodule
|
gpl-3.0
|
lkesteloot/alice
|
alice4/fpga/Alice4-DE0-Nano-SoC/soc_system/synthesis/submodules/soc_system_hps_0.v
| 9,603 |
module MODULE1 #(
parameter VAR31 = 0,
parameter VAR10 = 0
) (
output wire VAR2, input wire [28:0] VAR35, input wire [7:0] VAR27, output wire VAR33, output wire [63:0] VAR11, output wire VAR36, input wire VAR1, input wire VAR34, input wire [28:0] VAR25, input wire [7:0] VAR38, output wire VAR28, output wire [63:0] VAR13, output wire VAR52, input wire VAR54, input wire VAR21, input wire [28:0] VAR57, input wire [7:0] VAR49, output wire VAR32, output wire [63:0] VAR9, output wire VAR17, input wire VAR19, input wire VAR55, input wire [28:0] VAR18, input wire [7:0] VAR39, output wire VAR24, input wire [63:0] VAR3, input wire [7:0] VAR47, input wire VAR41, input wire VAR44, input wire [28:0] VAR16, input wire [7:0] VAR58, output wire VAR30, input wire [63:0] VAR4, input wire [7:0] VAR5, input wire VAR53, input wire VAR37, input wire VAR20, output wire VAR46, output wire VAR15, input wire VAR43, output wire [14:0] VAR56, output wire [2:0] VAR8, output wire VAR50, output wire VAR12, output wire VAR40, output wire VAR26, output wire VAR6, output wire VAR23, output wire VAR42, output wire VAR22, inout wire [31:0] VAR51, inout wire [3:0] VAR48, inout wire [3:0] VAR14, output wire VAR7, output wire [3:0] VAR45, input wire VAR29 );
generate
if (VAR31 != 0)
begin
begin
|
apache-2.0
|
EPiCS/soundgates
|
hardware/design/reference/cf_lib/edk/pcores/axi_dmac_v1_00_a/hdl/verilog/src_axi_mm.v
| 6,120 |
module MODULE1 (
input VAR55,
input VAR17,
input VAR20,
output VAR30,
input [31:VAR43] VAR32,
input [3:0] VAR15,
input [2:0] VAR45,
input enable,
output VAR71,
input VAR63,
input VAR40,
output VAR66,
output VAR50,
input VAR2,
output [1:0] VAR16,
input [VAR31-1:0] VAR54,
output [VAR31-1:0] VAR35,
output [VAR31-1:0] VAR3,
output [VAR31-1:0] VAR4,
input VAR5,
input VAR46,
output VAR69,
input VAR37,
output [VAR48-1:0] VAR42,
input VAR19,
output VAR58,
output [31:0] VAR52,
output [ 7:0] VAR26,
output [ 2:0] VAR60,
output [ 1:0] VAR12,
output [ 2:0] VAR61,
output [ 3:0] VAR13,
input [VAR48-1:0] VAR56,
output VAR70,
input VAR51,
input [ 1:0] VAR9
);
parameter VAR31 = 3;
parameter VAR48 = 64;
parameter VAR43 = 3;
parameter VAR62 = 24;
wire [VAR31-1:0] VAR3;
wire [VAR31-1:0] VAR4;
wire VAR29;
wire VAR36;
wire VAR6;
wire VAR23;
wire VAR25;
assign VAR66 = VAR40;
assign VAR35 = VAR3;
VAR11 #(
.VAR39(2)
) VAR68 (
.clk(VAR55),
.VAR41(VAR17),
.VAR65(VAR20),
.VAR67(VAR30),
.VAR8({
VAR36,
VAR23
}),
.VAR22({
VAR6,
VAR25
})
);
VAR18 #(
.VAR62(VAR62),
.VAR43(VAR43),
.VAR31(VAR31)
) VAR57 (
.clk(VAR55),
.VAR41(VAR17),
.enable(enable),
.VAR71(VAR29),
.VAR40(VAR40),
.VAR74(VAR4),
.VAR33(VAR54),
.VAR20(VAR36),
.VAR30(VAR6),
.VAR32(VAR32),
.VAR15(VAR15),
.VAR1(VAR46),
.VAR72(VAR19),
.VAR38(VAR58),
.addr(VAR52),
.VAR49(VAR26),
.VAR73(VAR60),
.VAR24(VAR12),
.VAR7(VAR61),
.VAR47(VAR13)
);
VAR53 # (
.VAR31(VAR31),
.VAR44(VAR48)
) VAR59 (
.clk(VAR55),
.VAR41(VAR17),
.enable(VAR29),
.VAR71(VAR71),
.VAR40(VAR40),
.VAR54(VAR4),
.VAR35(VAR3),
.VAR1(VAR5),
.VAR20(VAR23),
.VAR30(VAR25),
.VAR15(VAR15),
.VAR27(VAR51),
.VAR14(VAR70),
.VAR28(VAR56),
.VAR64(VAR69),
.VAR34(VAR37),
.VAR21(VAR42)
);
reg [1:0] VAR10;
always @(posedge VAR55)
begin
if (VAR51 && VAR70) begin
if (VAR9 != 2'b0)
VAR10 <= VAR9;
end
end
endmodule
|
mit
|
trivoldus28/pulsarch-verilog
|
design/sys/iop/jbi/jbi_mout/rtl/jbi_snoop_out_queue.v
| 2,450 |
module MODULE1 (
valid,
VAR4, VAR3, clk, VAR6
);
input VAR4;
output valid;
input VAR3;
input clk;
input VAR6;
wire [15:0] VAR1;
wire [15:0] counter;
VAR5 #(VAR9) VAR8 (.din(VAR1), .en(VAR2), .VAR7(counter), .VAR6(VAR6), .clk(clk));
assign VAR2 = VAR4 ^ VAR3;
assign VAR1 = (VAR4)? counter + 1'b1:
counter - 1'b1;
assign valid = (counter != 1'b0);
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/inputiso0n/sky130_fd_sc_hdll__inputiso0n.pp.symbol.v
| 1,379 |
module MODULE1 (
input VAR6 ,
output VAR3 ,
input VAR4,
input VAR7 ,
input VAR5 ,
input VAR1 ,
input VAR2
);
endmodule
|
apache-2.0
|
rurume/openrisc_vision_hardware
|
ISE/uart_transmitter.v
| 12,445 |
module MODULE1 (clk, VAR21, VAR38, VAR9, VAR39, enable, VAR5, VAR43, VAR19, VAR34, VAR2);
input clk;
input VAR21;
input [7:0] VAR38;
input VAR9;
input [7:0] VAR39;
input enable;
input VAR34;
input VAR2; output VAR5;
output [2:0] VAR43;
output [VAR12-1:0] VAR19;
reg [2:0] VAR43;
reg [4:0] counter;
reg [2:0] VAR17; reg [6:0] VAR3; reg VAR37;
reg VAR29; reg VAR1;
reg VAR40;
wire [VAR25-1:0] VAR18;
wire [VAR25-1:0] VAR28;
wire VAR9;
wire VAR32;
wire [VAR12-1:0] VAR19;
assign VAR18 = VAR39;
VAR33 VAR20( .clk( clk ),
.VAR21( VAR21 ),
.VAR35( VAR18 ),
.VAR31( VAR28 ),
.VAR15( VAR9 ),
.VAR27( VAR1 ),
.VAR24( VAR32 ),
.VAR8( VAR19 ),
.VAR7( VAR34 ),
.VAR6(VAR2)
);
parameter VAR41 = 3'd0;
parameter VAR26 = 3'd1;
parameter VAR13 = 3'd2;
parameter VAR10 = 3'd3;
parameter VAR22 = 3'd4;
parameter VAR23 = 3'd5;
always @(posedge clk or posedge VAR21)
begin
if (VAR21)
begin
VAR43 <= VAR41;
VAR37 <= 1'b1;
counter <= 5'b0;
VAR3 <= 7'b0;
VAR40 <= 1'b0;
VAR29 <= 1'b0;
VAR1 <= 1'b0;
VAR17 <= 3'b0;
end
else
if (enable)
begin
case (VAR43)
VAR41 : if (~|VAR19) begin
VAR43 <= VAR41;
VAR37 <= 1'b1;
end
else
begin
VAR1 <= 1'b0;
VAR37 <= 1'b1;
VAR43 <= VAR23;
end
VAR23 : begin
VAR1 <= 1'b1;
case (VAR38[1:0]) 2'b00 : begin
VAR17 <= 3'b100;
VAR29 <= ^VAR28[4:0];
end
2'b01 : begin
VAR17 <= 3'b101;
VAR29 <= ^VAR28[5:0];
end
2'b10 : begin
VAR17 <= 3'b110;
VAR29 <= ^VAR28[6:0];
end
2'b11 : begin
VAR17 <= 3'b111;
VAR29 <= ^VAR28[7:0];
end
endcase
{VAR3[6:0], VAR40} <= VAR28;
VAR43 <= VAR26;
end
VAR26 : begin
VAR1 <= 1'b0;
if (~|counter)
counter <= 5'b01111;
end
else
if (counter == 5'b00001)
begin
counter <= 0;
VAR43 <= VAR13;
end
else
counter <= counter - 1'b1;
VAR37 <= 1'b0;
end
VAR13 : begin
if (~|counter)
counter <= 5'b01111;
end
else
if (counter == 5'b00001)
begin
if (VAR17 > 3'b0)
begin
VAR17 <= VAR17 - 1'b1;
{VAR3[5:0],VAR40 } <= {VAR3[6:1], VAR3[0]};
VAR43 <= VAR13;
end
else if (~VAR38[VAR30])
begin
VAR43 <= VAR22;
end
else
begin
case ({VAR38[VAR11],VAR38[VAR4]})
2'b00: VAR40 <= ~VAR29;
2'b01: VAR40 <= 1'b1;
2'b10: VAR40 <= VAR29;
2'b11: VAR40 <= 1'b0;
endcase
VAR43 <= VAR10;
end
counter <= 0;
end
else
counter <= counter - 1'b1;
VAR37 <= VAR40; end
VAR10 : begin
if (~|counter)
counter <= 5'b01111;
end
else
if (counter == 5'b00001)
begin
counter <= 4'b0;
VAR43 <= VAR22;
end
else
counter <= counter - 1'b1;
VAR37 <= VAR40;
end
VAR22 : begin
if (~|counter)
begin
casex ({VAR38[VAR42],VAR38[VAR14]})
3'VAR16: counter <= 5'b01101; 3'b100: counter <= 5'b10101; default: counter <= 5'b11101; endcase
end
else
if (counter == 5'b00001)
begin
counter <= 0;
VAR43 <= VAR41;
end
else
counter <= counter - 1'b1;
VAR37 <= 1'b1;
end
default : VAR43 <= VAR41;
endcase
end else
VAR1 <= 1'b0; end
assign VAR5 = VAR38[VAR36] ? 1'b0 : VAR37;
endmodule
|
gpl-2.0
|
gajjanag/6111_Project
|
src/acc.v
| 6,830 |
module MODULE2 #(parameter VAR35=8)
(input clk , input[VAR35-1:0] VAR21,
input VAR39, output VAR25);
reg[VAR35-1:0] VAR26 = 0;
always @(posedge clk) begin
if (VAR39) begin
VAR26 <= {VAR21[VAR35-2:0], 1'b0};
end
else begin
VAR26 <= {VAR26[VAR35-2:0], 1'b0};
end
end
assign VAR25 = VAR39 ? VAR21[VAR35-1] : VAR26[VAR35-1];
endmodule
module MODULE4 #(parameter VAR35=8)
(input clk , input VAR25,
output[VAR35-1:0] VAR21);
reg[VAR35-2:0] VAR26 = 0;
always @(posedge clk) begin
VAR26 <= {VAR26[VAR35-3:0], VAR25};
end
assign VAR21 = {VAR26, VAR25};
endmodule
module MODULE3(input clk , output VAR33);
parameter VAR38 = 9;
reg [3:0] VAR1 = 0;
reg VAR24 = 0;
always @(posedge clk) begin
if (VAR1 == VAR38) begin
VAR24 <= ~VAR24;
VAR1 <= 0;
end
else begin
VAR1 <= VAR1 + 1;
end
end
assign VAR33 = VAR24;
endmodule
module MODULE1(
input VAR36, VAR32, reset,
input signed [15:0] VAR28,
output signed [15:0] VAR6,
output VAR2
);
reg signed [15:0] VAR23 [31:0];
reg [4:0] VAR18 = 0;
reg signed [15:0] VAR14 = 0;
reg [5:0] VAR3 = 0;
reg signed [15:0] VAR37;
always @ begin
case (state)
VAR5: begin
VAR15 = (VAR1 == 5'd2 || VAR1 == 5'd10) ? 1 : 0;
if (VAR1 == 5'd2) begin
VAR9 = 8'h2D; end
else if (VAR1 == 5'd10) begin
VAR9 = 8'h08; end
else begin
VAR9 = 0;
end
VAR12 = 0; VAR10 = 0;
VAR20 = 0; VAR7 = 0;
VAR31 = (VAR1 == 5'd18 || VAR1 == 5'd0) ? 1 : 0;
end
VAR22: begin
VAR15 = (VAR1 == 5'd1) ? 1 : 0;
VAR9 = (VAR1 == 5'd1) ? 8'hF2 : 0; VAR12 = (VAR1 == 5'd25) ? 1 : 0;
VAR10 = (VAR1 == 5'd25) ? {VAR8, VAR17} : 0;
VAR20 = 0; VAR7 = 0;
VAR31 = (VAR1 == 5'd25) ? 1 : 0;
end
VAR11: begin
VAR15 = (VAR1 == 5'd1) ? 1 : 0;
VAR9 = (VAR1 == 5'd1) ? 8'hF4 : 0; VAR20 = (VAR1 == 5'd25) ? 1 : 0;
VAR7 = (VAR1 == 5'd25) ? {VAR8, VAR29} : 0;
VAR12 = 0; VAR10 = 0;
VAR31 = (VAR1 == 5'd25) ? 1 : 0;
end
endcase
end
assign VAR27 = (VAR31 == 1 || (state == VAR5 && VAR1 == 5'd1
|| state != VAR5 && VAR1 == 5'd0)) ? 1 : VAR33;
assign VAR16 = VAR31;
assign ready = VAR19 && VAR4;
assign VAR13 = VAR40;
assign VAR34 = VAR30;
endmodule
|
gpl-3.0
|
google/globalfoundries-pdk-ip-gf180mcu_fd_ip_sram
|
cells/gf180mcu_fd_ip_sram__sram64x8m8wm1/gf180mcu_fd_ip_sram__sram64x8m8wm1.v
| 15,914 |
module MODULE1 (
VAR45,
VAR37,
VAR43,
VAR15,
VAR48,
VAR35,
VAR13,
VAR46,
VAR24
);
input VAR45;
input VAR37; input VAR43; input [7:0] VAR15; input [5:0] VAR48;
input [7:0] VAR35;
output [7:0] VAR13;
inout VAR46;
inout VAR24;
reg [7:0] VAR14[63:0];
reg [7:0] VAR38;
wire VAR34;
wire VAR31;
wire VAR22;
reg VAR19; reg VAR9;
reg VAR40;
reg VAR1; reg VAR10;
reg VAR11;
reg VAR23;
reg VAR3;
reg VAR20; reg VAR12;
reg VAR42;
reg VAR28;
reg VAR7;
wire VAR33; wire VAR41; wire VAR18;
reg VAR8; reg VAR32; reg VAR2; reg VAR29;
reg VAR17; reg VAR21;
wire [7:0] VAR16; wire [7:0] VAR6;
wire [7:0] VAR25;
wire [7:0] VAR5;
reg [7:0] VAR36;
reg [5:0] VAR27;
integer VAR39;
assign VAR13 = VAR38;
wire [7:0] VAR4;
wire [7:0] VAR47;
wire [7:0] VAR30;
wire [7:0] VAR26;
assign VAR4 = VAR14[0];
assign VAR47 = VAR14[1];
assign VAR30 = VAR14[2];
assign VAR26 = VAR14[3];
always @(VAR37) VAR29 = VAR37;
always @(VAR37 or VAR29) begin
if (!VAR37 & VAR29) VAR17 = 1'b1;
end
always @(posedge VAR45) begin
if (!VAR37 & !VAR17 & !VAR21) VAR21 = 1;
end
always @(posedge VAR21) begin
end
always @(posedge VAR17) begin
end
assign VAR34 = VAR17 & !VAR37;
assign VAR31 = VAR17 & !VAR37 & !VAR43 & !(&VAR15);
assign VAR22 = VAR17 & !VAR37 & VAR43;
reg VAR44;
always @(VAR34) VAR44 = VAR34;
|
apache-2.0
|
SymbiFlow/nextpnr
|
generic/synth/prims.v
| 1,091 |
module MODULE2 #(
parameter VAR4 = 4,
parameter [2**VAR4-1:0] VAR8 = 0
) (
input [VAR4-1:0] VAR2,
output VAR17
);
wire [VAR4-1:0] VAR21;
genvar VAR9;
generate
for (VAR9 = 0; VAR9 < VAR4; VAR9 = VAR9 + 1'b1)
assign VAR21[VAR9] = (VAR2[VAR9] === 1'VAR19) ? 1'b0 : VAR2[VAR9];
endgenerate
assign VAR17 = VAR8[VAR21];
endmodule
module MODULE4 (
input VAR12, VAR13,
output reg VAR17
);
VAR11 VAR17 = 1'b0;
always @(posedge VAR12)
VAR17 <= VAR13;
endmodule
module MODULE1 #(
parameter VAR4 = 4,
parameter [2**VAR4-1:0] VAR8 = 0,
parameter VAR15 = 1'b0
) (
input VAR12,
input [VAR4-1:0] VAR2,
output VAR7,
output VAR17
);
wire VAR14;
MODULE2 #(.VAR4(VAR4), .VAR8(VAR8)) VAR18(.VAR2(VAR2), .VAR17(VAR14));
MODULE4 MODULE1(.VAR12(VAR12), .VAR13(VAR14), .VAR17(VAR17));
assign VAR7 = VAR14;
endmodule
module MODULE3 #(
parameter VAR5 = 1'b0,
parameter VAR6 = 1'b0,
parameter VAR20 = 1'b0
) (
inout VAR10,
input VAR2, VAR3,
output VAR16
);
generate if (VAR6 && VAR20)
assign VAR10 = VAR3 ? VAR2 : 1'VAR19;
else if (VAR6)
assign VAR10 = VAR2;
endgenerate
generate if (VAR5)
assign VAR16 = VAR10;
endgenerate
endmodule
|
isc
|
TalentlessAlpaca/Automated_Vacuum_Cleaner
|
j1_soc/hdl/Position/Integrador_Theta.v
| 3,881 |
module MODULE1(
input [15:0] VAR3,
input [15:0] VAR20,
input enable,
input rst,
input clk,
output [31:0] VAR8,
output reg VAR5
);
wire VAR16;
reg en;
reg [63:0] VAR12;
reg [63:0] VAR1;
wire [31:0] VAR2;
reg VAR14;
assign VAR8 = VAR12[63:32];
VAR21 VAR24(
.clk(clk),
.rst(VAR14),
.en(en),
.VAR19(VAR20),
.VAR22(VAR3),
.VAR5(VAR16),
.VAR6(VAR2)
);
reg VAR17;
reg VAR9;
reg [63:0] VAR13;
localparam VAR15 = 64'd100000000;
wire VAR23;
wire [63:0] VAR18;
VAR4 VAR11 (
.clk(clk),
.rst(VAR17),
.VAR10(VAR9),
.VAR19({VAR13,32'h00000000}),
.VAR22(VAR15),
.VAR7(VAR18),
.VAR5(VAR23)
);
|
mit
|
eecsninja/duinocube-core
|
common/main_avr.v
| 5,295 |
module MODULE1(clk, reset,
VAR42, VAR5, VAR13, VAR30, VAR29,
VAR43, VAR34, VAR15, VAR22, VAR25, VAR12,
VAR20, VAR6, VAR9);
input clk;
input reset;
input VAR42; input VAR5; input VAR13; input [VAR17-1:0] VAR30; inout [VAR2-1:0] VAR29;
output VAR43; output VAR34; output VAR15; output [1:0] VAR22; output [VAR14-1:0] VAR25; inout [VAR19-1:0] VAR12;
output VAR20;
output VAR6;
output [VAR26-1:0] VAR9;
wire [VAR38-1:0] VAR8;
wire [VAR27-1:0] VAR11;
wire [VAR27-1:0] VAR4;
wire [VAR2-1:0] VAR10;
VAR33 #(VAR2)
VAR39(.en(VAR13),
.VAR23(VAR29),
.VAR18(VAR10));
assign VAR8 = {1'b0, VAR30, VAR10[VAR2-1:1]};
assign VAR29 = (~VAR42 & VAR5 & ~VAR13) ? VAR4
: {VAR27{1'VAR7}};
wire [VAR21-1:0] VAR35;
wire [VAR21-1:0] VAR3;
assign VAR35 = {VAR29, VAR29};
assign VAR4 =
VAR10[0] ? VAR3[VAR21-1:VAR27]
: VAR3[VAR27-1:0];
wire [1:0] VAR41 = VAR10[0] ? 2'b01 : 2'b10;
wire VAR32 = ~(~VAR42 ^ ~VAR5);
wire VAR43; wire VAR34;
wire VAR15;
wire [1:0] VAR22;
assign VAR43 = ~VAR43;
assign VAR34 = ~VAR34;
assign VAR15 = ~VAR15;
assign VAR22 = ~VAR22;
wire [VAR19-1:0] VAR24;
wire [VAR19-1:0] VAR31;
assign VAR12 = (VAR43 & VAR15) ? VAR31
: {VAR19 {1'VAR7}};
assign VAR24 = VAR12;
VAR37 VAR36(.clk(clk),
.reset(~reset),
.VAR42(~VAR42),
.VAR5(~VAR5),
.VAR32(~VAR32),
.VAR41(~VAR41),
.VAR40(VAR8),
.VAR11(VAR35),
.VAR4(VAR3),
.VAR43(VAR43),
.VAR34(VAR34),
.VAR15(VAR15),
.VAR22(VAR22),
.VAR25(VAR25),
.VAR24(VAR24),
.VAR31(VAR31),
.VAR16(VAR20),
.VAR1(VAR6),
.VAR28(VAR9)
);
endmodule
|
gpl-3.0
|
mbus/mbus
|
mbus/verilog/no_pwr_gating_yesheng/mbus_general_layer_wrapper.v
| 4,459 |
module MODULE1(
input VAR21,
input VAR17,
input VAR39,
input [19:0] VAR31,
input [VAR22-1:0] VAR42,
output [VAR22-1:0] VAR34,
input VAR4,
output VAR62,
output VAR15,
input VAR25,
output VAR65,
input VAR58,
input VAR64,
output VAR6,
input [VAR47-1:0] VAR8,
input [VAR14-1:0] VAR30,
input VAR37,
input VAR45,
input VAR61,
output VAR27,
output [VAR47-1:0] VAR53,
output [VAR14-1:0] VAR38,
output VAR63,
input VAR19,
output VAR40,
output VAR35,
output VAR41,
output [1:0] VAR33,
output VAR18,
output VAR51,
input VAR29
input VAR11,
output VAR49,
output VAR5,
output VAR3,
output VAR36,
input VAR52,
output VAR43,
output VAR57,
output reg VAR12,
);
parameter VAR10 = 20'h05fff;
wire VAR46;
wire VAR32;
wire VAR7;
wire VAR44;
wire VAR48;
reg VAR13, VAR50;
wire VAR23 = (VAR58 & (~VAR11) & VAR17);
wire VAR23 = VAR58 & VAR17;
wire VAR54, VAR2;
wire VAR24 = (VAR17==1'b1)? VAR46 : VAR25;
wire din = (VAR17==1'b1)? VAR32 : VAR64;
always @ *
begin
if ((VAR40) && (VAR53[VAR56-1:0]==VAR1))
VAR13 = 1;
end
else
VAR13 = 0;
end
assign VAR63 = (VAR13 & VAR17)? 1'b0 : VAR7;
always @ (posedge VAR21 or negedge VAR23)
begin
if (~VAR23)
begin
VAR50 <= 0;
VAR12 <= 0;
end
else
begin
if (VAR13 & VAR7)
begin
VAR50 <= 1;
end
if (VAR50 & (~VAR7))
VAR50 <= 0;
VAR12 <= VAR48;
end
end
assign VAR44 = (VAR19 | VAR50);
VAR55 VAR59(
.VAR21(VAR21),
.VAR58(VAR23),
.VAR25(VAR25),
.VAR65(VAR46),
.VAR64(VAR64),
.VAR6(VAR32),
.VAR10(VAR10)
);
VAR26 VAR9(
.VAR25(VAR24),
.VAR58(VAR58),
.VAR64(din),
.VAR65(VAR2),
.VAR6(VAR54),
.VAR8(VAR8),
.VAR30(VAR30),
.VAR37(VAR37),
.VAR45(VAR45),
.VAR61(VAR61),
.VAR27(VAR27),
.VAR53(VAR53),
.VAR38(VAR38),
.VAR63(VAR7),
.VAR19(VAR44),
.VAR40(VAR40),
.VAR35(VAR35),
.VAR41(VAR41),
.VAR33(VAR33),
.VAR18(VAR18),
.VAR51(VAR51),
.VAR29(VAR29),
.VAR11(VAR11),
.VAR49(VAR49),
.VAR5(VAR5),
.VAR3(VAR3),
.VAR36(VAR36),
.VAR12(VAR48),
.VAR52(VAR52),
.VAR43(VAR43),
.VAR57(VAR57),
.VAR42(VAR42),
.VAR34(VAR34),
.VAR4(VAR4),
.VAR62(VAR62),
.VAR15(VAR15),
.VAR17(VAR17),
.VAR39(VAR39),
.VAR31(VAR31)
);
VAR16 VAR20(
.VAR58(VAR58),
.VAR60(VAR54),
.VAR28(VAR2),
.VAR6(VAR6),
.VAR65(VAR65)
);
endmodule
|
apache-2.0
|
csail-csg/riscy-OOO
|
procs/asic/bluespec_verilog/RegAligned.v
| 2,270 |
module MODULE1(VAR7, VAR8, VAR1, VAR3, VAR4);
parameter VAR6 = 1;
parameter VAR9 = { VAR6 {1'b0}} ;
input VAR7;
input VAR8;
input VAR4;
input [VAR6 - 1 : 0] VAR3;
output [VAR6 - 1 : 0] VAR1;
reg [VAR6 - 1 : 0] VAR1;
always@(posedge VAR7 or VAR5 VAR8) begin
if (VAR8 == VAR2)
VAR1 <= VAR10 VAR9;
end
else
begin
if (VAR4)
VAR1 <= VAR10 VAR3;
end
end
VAR1 = {((VAR6 + 1)/2){2'b10}} ;
end
endmodule
|
mit
|
varunnagpaal/Digital-Hardware-Modelling
|
xilinx-vivado/proj_pointer_basic_hls_ip_integ/proj_pointer_basic_hls_ip_integ.cache/ip/2018.2/3bcfc1a1fd008685/design_1_rst_ps7_0_50M_0_stub.v
| 1,873 |
module MODULE1(VAR9, VAR8, VAR6,
VAR3, VAR4, VAR1, VAR7, VAR10,
VAR2, VAR5)
;
input VAR9;
input VAR8;
input VAR6;
input VAR3;
input VAR4;
output VAR1;
output [0:0]VAR7;
output [0:0]VAR10;
output [0:0]VAR2;
output [0:0]VAR5;
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/o211ai/sky130_fd_sc_hd__o211ai.behavioral.pp.v
| 2,048 |
module MODULE1 (
VAR12 ,
VAR8 ,
VAR10 ,
VAR1 ,
VAR6 ,
VAR5,
VAR3,
VAR13 ,
VAR2
);
output VAR12 ;
input VAR8 ;
input VAR10 ;
input VAR1 ;
input VAR6 ;
input VAR5;
input VAR3;
input VAR13 ;
input VAR2 ;
wire VAR4 ;
wire VAR16 ;
wire VAR17;
or VAR14 (VAR4 , VAR10, VAR8 );
nand VAR15 (VAR16 , VAR6, VAR4, VAR1 );
VAR7 VAR11 (VAR17, VAR16, VAR5, VAR3);
buf VAR9 (VAR12 , VAR17 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/o31ai/sky130_fd_sc_lp__o31ai.functional.pp.v
| 2,027 |
module MODULE1 (
VAR11 ,
VAR17 ,
VAR14 ,
VAR16 ,
VAR5 ,
VAR10,
VAR8,
VAR9 ,
VAR6
);
output VAR11 ;
input VAR17 ;
input VAR14 ;
input VAR16 ;
input VAR5 ;
input VAR10;
input VAR8;
input VAR9 ;
input VAR6 ;
wire VAR12 ;
wire VAR7 ;
wire VAR4;
or VAR2 (VAR12 , VAR14, VAR17, VAR16 );
nand VAR15 (VAR7 , VAR5, VAR12 );
VAR13 VAR3 (VAR4, VAR7, VAR10, VAR8);
buf VAR1 (VAR11 , VAR4 );
endmodule
|
apache-2.0
|
pemsac/ANN_project
|
ANN_project.ip_user_repository/UC3M_MISEA_Thesis_feedforward_1_4/hdl/verilog/feedforward_fdiv_32ns_32ns_32_16.v
| 1,927 |
module MODULE1
VAR4 = 2,
VAR27 = 16,
VAR23 = 32,
VAR1 = 32,
VAR20 = 32
)(
input wire clk,
input wire reset,
input wire VAR16,
input wire [VAR23-1:0] VAR21,
input wire [VAR1-1:0] VAR17,
output wire [VAR20-1:0] dout
);
wire VAR25;
wire VAR11;
wire VAR8;
wire [31:0] VAR2;
wire VAR14;
wire [31:0] VAR7;
wire VAR22;
wire [31:0] VAR6;
reg [VAR23-1:0] VAR9;
reg [VAR1-1:0] VAR10;
VAR26 VAR5 (
.VAR25 ( VAR25 ),
.VAR11 ( VAR11 ),
.VAR3 ( VAR8 ),
.VAR12 ( VAR2 ),
.VAR24 ( VAR14 ),
.VAR15 ( VAR7 ),
.VAR18 ( VAR22 ),
.VAR19 ( VAR6 )
);
assign VAR25 = clk;
assign VAR11 = VAR16;
assign VAR8 = 1'b1;
assign VAR2 = VAR9==='VAR13 ? 'b0 : VAR9;
assign VAR14 = 1'b1;
assign VAR7 = VAR10==='VAR13 ? 'b0 : VAR10;
assign dout = VAR6;
always @(posedge clk) begin
if (VAR16) begin
VAR9 <= VAR21;
VAR10 <= VAR17;
end
end
endmodule
|
gpl-3.0
|
AmeerAbdelhadi/Switched-Multiported-RAM
|
lvt_1ht.v
| 9,108 |
module MODULE1
localparam VAR3 = VAR15(VAR36); localparam VAR4 = VAR14 - 1 ;
reg [VAR3*VAR14-1:0] VAR11; reg [ VAR14-1:0] VAR13 ; always @(posedge clk) begin
VAR11 <= VAR25;
VAR13 <= VAR7 ;
end
reg [VAR3 -1:0] VAR33 [VAR14-1:0] ; reg [VAR3 -1:0] VAR9 [VAR14-1:0] ; wire [VAR4*VAR8 -1:0] VAR42 [VAR14-1:0] ; reg [VAR4 -1:0] VAR30 [VAR14-1:0][VAR8 -1:0]; reg [VAR3*VAR4-1:0] VAR39 [VAR14-1:0] ; reg [VAR3 -1:0] VAR22 [VAR14-1:0][VAR4-1:0]; wire [VAR4*VAR4-1:0] VAR37 [VAR14-1:0] ; reg [VAR4 -1:0] VAR35 [VAR14-1:0][VAR4-1:0]; reg [VAR4 -1:0] VAR34 [VAR14-1:0] ; reg [VAR4 -1:0] VAR38 [VAR14-1:0] ; reg [VAR14 -1:0] VAR24 [VAR8-1:0] ; VAR43;
always @* begin
end
genvar VAR18;
generate
for (VAR18=0 ; VAR18<VAR14 ; VAR18=VAR18+1) begin: VAR40
VAR41 #( .VAR36 (VAR36 ), .VAR29 (VAR4 ), .VAR8 (VAR14-1 ), .VAR1 (VAR17||VAR21||VAR6), .VAR10 (VAR10 ), .VAR26 (VAR26 )) VAR27 ( .clk (clk ), .VAR7 (VAR13[VAR18] ), .VAR25 (VAR9[VAR18] ), .VAR32 (VAR34[VAR18] ), .VAR28 (VAR39[VAR18] ), .VAR31 (VAR37[VAR18] )); VAR41 #( .VAR36 (VAR36 ), .VAR29 (VAR4 ), .VAR8 (VAR8 ), .VAR1 (VAR21 ? 2 : VAR6), .VAR10 (VAR10 ), .VAR26 (VAR26 )) VAR12 ( .clk (clk ), .VAR7 (VAR13[VAR18] ), .VAR25 (VAR9[VAR18] ), .VAR32 (VAR34[VAR18] ), .VAR28 (VAR28 ), .VAR31 (VAR42[VAR18]));
end
endgenerate
integer VAR19; integer VAR16; integer VAR2; integer VAR5; integer VAR20; integer VAR23; always @* begin
for(VAR19=0;VAR19<VAR14;VAR19=VAR19+1) VAR38[VAR19] = (1<<VAR19)-1; for(VAR5=0;VAR5<VAR8;VAR5=VAR5+1)
for(VAR19=0;VAR19<VAR14;VAR19=VAR19+1)
VAR24[VAR5][VAR19] = 1;
for(VAR19=0;VAR19<VAR14;VAR19=VAR19+1) begin
VAR16 = 0;
for(VAR20=0;VAR20<VAR4;VAR20=VAR20+1) begin
VAR16=VAR16+(VAR20==VAR19);
VAR2=VAR19-(VAR16<VAR19);
VAR23=VAR19-(VAR38[VAR19][VAR20]);
VAR22[VAR19][VAR20] = VAR33[VAR16];
VAR34[VAR19][VAR20] = VAR35[VAR16][VAR2][VAR23] ^ VAR38[VAR19][VAR20];
for(VAR5=0;VAR5<VAR8;VAR5=VAR5+1) VAR24[VAR5][VAR19] = VAR24[VAR5][VAR19] && (( VAR30[VAR16][VAR5][VAR23] ^ VAR38[VAR19][VAR20]) == VAR30[VAR19][VAR5][VAR20]);
VAR16=VAR16+1;
end
end
end
endmodule
|
bsd-3-clause
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/dlymetal6s4s/sky130_fd_sc_hs__dlymetal6s4s.behavioral.pp.v
| 1,766 |
module MODULE1 (
VAR3,
VAR5,
VAR4 ,
VAR7
);
input VAR3;
input VAR5;
output VAR4 ;
input VAR7 ;
wire VAR2 ;
wire VAR9;
buf VAR8 (VAR2 , VAR7 );
VAR10 VAR1 (VAR9, VAR2, VAR3, VAR5);
buf VAR6 (VAR4 , VAR9 );
endmodule
|
apache-2.0
|
Jside/nova1
|
nova_io_dummy.v
| 2,199 |
module MODULE1(VAR3, VAR10, VAR11, VAR4, VAR7, VAR9, VAR5);
input VAR3;
input VAR10;
input VAR11;
input VAR4;
input [0:7] VAR7;
input [0:15] VAR9;
output reg [0:15] VAR5;
parameter VAR8 = 6'o00;
reg VAR1;
reg VAR2;
always @(posedge VAR3) begin
if(VAR10) begin
VAR5 <= 16'VAR6;
VAR1 <= 1'b1;
VAR2 <= 1'b0;
end
else begin
if(VAR11 & VAR7[0:5] == VAR8) begin
if(VAR4) begin
case(VAR7[6:7])
2'b00:
begin
case(VAR9[14:15])
2'b01:
begin
VAR1 <= 1'b0;
VAR2 <= 1'b1;
end
2'b10:
begin
VAR1 <= 1'b0;
VAR2 <= 1'b0;
end
2'b11:
begin
end
endcase end 2'b01:
2'b10:
2'b11:
endcase end else begin
case(VAR7[6:7])
2'b00:
VAR5 <= {VAR2, VAR1, 14'h0000};
2'b01:
2'b10:
2'b11:
endcase end end else
VAR5 <= 16'VAR6;
end
end
endmodule
|
gpl-3.0
|
cr88192/bgbtech_bjx1core
|
bjx1c32b1/DecOp3_1.v
| 36,711 |
module MODULE1(
clk,
VAR52,
VAR7,
VAR113,
VAR176,
VAR133,
VAR230,
VAR3,
VAR143,
VAR328
);
parameter VAR117 = 0; parameter VAR293 = 0; parameter VAR56 = 1;
input clk; input[47:0] VAR52; input[15:0] VAR7;
output[6:0] VAR113;
output[6:0] VAR176;
output[6:0] VAR133;
output[31:0] VAR230;
output[3:0] VAR3;
output[3:0] VAR143;
output[7:0] VAR328;
reg VAR151; reg VAR381; reg VAR164; reg VAR285;
reg VAR342; reg VAR316; reg[3:0] VAR311;
reg VAR252;
reg VAR243;
reg VAR33;
reg VAR334;
reg VAR78;
reg[7:0] VAR157;
reg[15:0] VAR66;
reg[6:0] VAR375;
reg[6:0] VAR380;
reg[6:0] VAR263; reg[31:0] VAR166; reg[7:0] VAR246;
reg[3:0] VAR242;
reg[3:0] VAR72;
assign VAR113 = VAR375;
assign VAR176 = VAR380;
assign VAR133 = VAR263;
assign VAR230 = VAR166;
assign VAR328 = VAR246;
assign VAR3 = VAR242;
assign VAR143 = VAR72;
reg[4:0] VAR28;
reg[3:0] VAR277;
reg[7:0] VAR233;
reg[6:0] VAR362;
reg[6:0] VAR186;
reg[6:0] VAR266;
reg[6:0] VAR235;
reg[6:0] VAR129;
reg[6:0] VAR223;
reg[6:0] VAR262;
reg[6:0] VAR181;
reg[6:0] VAR351;
reg[6:0] VAR85;
reg[6:0] VAR313;
reg[6:0] VAR177;
reg[6:0] VAR224;
reg[6:0] VAR122;
reg[6:0] VAR364;
reg[6:0] VAR16;
reg[6:0] VAR36;
reg[6:0] VAR237;
reg[6:0] VAR125;
reg[6:0] VAR46;
reg[31:0] VAR168;
reg[31:0] VAR169;
reg[31:0] VAR274;
reg[31:0] VAR292;
reg[31:0] VAR349;
reg[31:0] VAR370;
reg[31:0] VAR44;
reg[31:0] VAR110;
reg[31:0] VAR221;
reg[31:0] VAR348;
reg[31:0] VAR347;
reg[31:0] VAR2;
reg[31:0] VAR100;
reg[31:0] VAR92;
reg[31:0] VAR86;
reg VAR84;
reg VAR217;
reg VAR373;
reg VAR290;
reg VAR382;
reg VAR161;
always @*
begin
VAR242 = 2;
VAR157=8'VAR374;
VAR66=0;
VAR246=VAR141;
VAR166=0;
VAR151=0;
VAR381=0; VAR164=0; VAR285=0;
VAR342=0; VAR316=0; VAR311=0;
VAR78=1;
VAR375=VAR121;
VAR380=VAR121;
VAR263=VAR121;
VAR84=0; VAR217=0;
VAR373=0; VAR290=0;
VAR382=0; VAR161=0;
VAR28=VAR101;
VAR277=VAR329;
if(VAR117 && VAR7[5])
begin
VAR334=1; VAR252=VAR7[6];
VAR243=VAR252; VAR33=0;
end else begin
VAR334=0; VAR252=0;
VAR243=0; VAR33=0;
end
VAR66=VAR52[15:0];
VAR233=VAR52[31:24];
if(VAR56)
begin
case(VAR52[15:8])
8'h8A: begin
VAR151=1;
VAR66=VAR52[15:0];
VAR233=VAR52[47:40];
VAR166[31:24]=VAR52[7]?8'hFF:8'h00;
VAR166[23:16]=VAR52[7:0];
VAR166[15: 0]=VAR52[31:16];
VAR375=VAR70;
VAR246=VAR22;
VAR28=VAR101;
VAR78=0;
end
8'h8E: begin
VAR151=1;
VAR381=1;
VAR285=1;
VAR157=VAR52[7:0];
VAR66=VAR52[31:16];
VAR233=VAR52[47:40];
end
8'hCC: begin
if(VAR293 && VAR334)
begin
VAR151=1;
VAR164=0;
VAR285=0;
VAR342 = (VAR52[7:4]==4'h0);
VAR316 = (VAR52[7:4]==4'h3);
VAR311=VAR52[3:0];
VAR252=VAR52[3];
VAR243=0;
VAR33=VAR252;
VAR157=0;
VAR66=VAR52[31:16];
VAR233=VAR52[47:40];
end
end
8'hCE: begin
if(VAR293 && VAR334)
begin
VAR151=1;
VAR164=1;
VAR285=1;
VAR157=VAR52[7:0];
VAR66=VAR52[31:16];
VAR233=VAR52[47:40];
VAR252=VAR52[7];
VAR243=0;
VAR33=0;
end
end
default: begin
end
endcase
if(VAR151)
VAR242 = 4;
end
VAR72 = 2;
if(VAR56)
begin
case(VAR233)
8'h8A: VAR72 = 4;
8'h8C: VAR72 = 4;
8'h8E: VAR72 = 4;
8'hCC: VAR72 = (VAR334 && VAR293) ? 4 : 2;
8'hCE: VAR72 = (VAR334 && VAR293) ? 4 : 2;
default: VAR72 = 2;
endcase
end
if(VAR293)
begin
if(VAR316)
begin
VAR78=0;
casez(VAR66[15:12])
4'h0: VAR246=VAR204;
4'h1: VAR246=VAR34;
4'h2: VAR246=VAR270;
4'h3: VAR246=VAR185;
4'h4: VAR246=VAR93;
4'h5: VAR246=VAR191;
4'h6: VAR246=VAR311[3] ? VAR319 : VAR156;
4'h7: VAR246=VAR311[3] ? VAR284 : VAR136;
4'h8: VAR246=VAR311[3] ? VAR343 : VAR231;
4'h9: VAR246=VAR311[3] ? VAR178 : VAR96;
4'hC: VAR246=VAR344;
4'hD: VAR246=VAR250;
4'hE: VAR246=VAR240;
default: begin end
endcase
if(VAR66[15:14]==2'h3)
begin
VAR375={2'h2, VAR311[2], VAR66[11:8]};
VAR380={2'h2, VAR311[1], VAR66[ 7:4]};
VAR263={2'h2, VAR311[0], VAR66[ 3:0]};
end
else
begin
VAR375={2'h0, VAR311[2], VAR66[11:8]};
VAR380={2'h0, VAR311[1], VAR66[ 7:4]};
VAR263={2'h0, VAR311[0], VAR66[ 3:0]};
end
VAR28=VAR101;
end
end
if(VAR78)
casez(VAR66[15:0])
16'VAR369: begin
end
16'VAR259: begin
end
16'VAR279: begin VAR246=VAR54; VAR28=VAR163;
VAR277=VAR308;
end
16'VAR97: begin VAR246=VAR247; VAR28=VAR227;
end
16'VAR307: begin VAR246 = VAR243 ? VAR339 : VAR180;
VAR28=VAR227;
end
16'VAR115: begin VAR246 = VAR33 ? VAR339 : VAR202;
VAR28=VAR227;
end
16'VAR196: begin if(VAR285)
begin
VAR246=VAR339; VAR28=VAR227;
end
else
begin
VAR246=VAR219; VAR28=VAR392;
end
end
16'VAR35: begin VAR246=VAR10;
VAR166[7:0]=VAR79;
VAR28=VAR101;
end
16'VAR172: begin VAR246=VAR10;
VAR166[7:0]=VAR94;
VAR28=VAR101;
end
16'VAR26: begin VAR246=VAR10;
VAR166[7:0]=VAR200;
VAR28=VAR101;
end
16'VAR244: begin VAR246=VAR10;
VAR166[7:0]=VAR354;
VAR28=VAR101;
end
16'VAR88: begin VAR246=VAR10;
VAR166[7:0]=VAR13;
VAR28=VAR101;
end
16'VAR378: begin VAR246=VAR10;
VAR166[7:0]=VAR341;
VAR28=VAR101;
end
16'VAR208: begin VAR246=VAR10;
VAR166[7:0]=VAR207;
VAR28=VAR101;
end
16'VAR9: begin VAR246=VAR198; VAR28=VAR126;
end
16'VAR27: begin VAR246=VAR10;
VAR166[7:0]=VAR116;
VAR28=VAR101;
end
16'VAR17: begin VAR246=VAR286; VAR28=VAR268;
end
16'VAR338: begin VAR246=VAR23; VAR28=VAR268;
end
16'VAR275: begin VAR246=VAR54; VAR28=VAR163;
VAR277=VAR336;
end
16'VAR391: begin VAR246=VAR201; VAR28=VAR126;
end
16'VAR42: begin VAR246=VAR10;
VAR166[7:0]=VAR367;
VAR28=VAR101;
end
16'VAR60: begin VAR246=VAR281; VAR28=VAR126;
end
16'VAR321: begin VAR246=VAR141; VAR28=VAR126;
VAR166=1;
if(VAR66[11:8]==4'hF)
begin
VAR246=VAR198;
VAR242=0;
end
end
16'VAR120: begin VAR246=VAR146; VAR28=VAR126;
end
16'VAR300: begin VAR246=VAR294; VAR28=VAR227;
end
16'VAR49: begin VAR246 = VAR243 ? VAR314 : VAR98;
VAR28=VAR227;
end
16'VAR289: begin VAR246 = VAR33 ? VAR314 : VAR14;
VAR28=VAR227;
end
16'VAR282: begin end
16'VAR299: begin VAR246 = VAR33 ? VAR339 : VAR202;
VAR28=VAR71;
end
16'VAR320: begin VAR246=VAR247; VAR28=VAR62;
end
16'VAR288: begin VAR246 = VAR243 ? VAR339 : VAR180;
VAR28=VAR62;
end
16'VAR291: begin VAR246 = VAR33 ? VAR339 : VAR202;
VAR28=VAR62;
end
16'VAR90: begin VAR246=VAR63; VAR28=VAR227;
end
16'VAR355: begin VAR246=VAR247; VAR28=VAR203;
VAR277=VAR160;
end
16'VAR188: begin VAR246 = VAR243 ? VAR339 : VAR180;
VAR28=VAR203;
VAR277=VAR160;
end
16'VAR104: begin VAR246 = VAR33 ? VAR339 : VAR202;
VAR28=VAR203;
VAR277=VAR160;
end
16'VAR73: begin VAR246=VAR209; VAR28=VAR392;
end
16'VAR6: begin VAR246=VAR106; VAR28=VAR256;
VAR277=VAR195;
end
16'VAR245: begin VAR246=VAR185; VAR28=VAR330;
VAR277=VAR195;
end
16'VAR205: begin VAR246=VAR191; VAR28=VAR330;
VAR277=VAR195;
end
16'VAR167: begin VAR246=VAR93; VAR28=VAR330;
VAR277=VAR195;
end
16'VAR142: begin VAR246=VAR127; VAR28=VAR330;
end
16'VAR87: begin VAR246=VAR30; VAR28=VAR330;
end
16'VAR255: begin VAR246=VAR340; VAR28=VAR392;
end
16'VAR67: begin VAR246=VAR138; VAR28=VAR392;
end
16'VAR214: begin VAR246=VAR252 ? VAR147 : VAR228;
VAR28=VAR256;
end
16'VAR326: begin end
16'VAR222: begin VAR246=VAR252 ? VAR379 : VAR251;
VAR28=VAR256;
end
16'VAR346: begin VAR246=VAR252 ? VAR365 : VAR139;
VAR28=VAR256;
end
16'VAR189: begin VAR246=VAR145; VAR28=VAR330;
end
16'VAR53: begin VAR246=VAR11; VAR28=VAR392;
end
16'VAR159: begin VAR246=VAR252 ? VAR82 : VAR165;
VAR28=VAR256;
end
16'VAR323: begin VAR246=VAR252 ? VAR132 : VAR303;
VAR28=VAR256;
end
16'VAR112: begin VAR246=VAR34; VAR28=VAR330;
VAR277=VAR195;
end
16'VAR377: begin end
16'VAR39: begin VAR246=VAR232; VAR28=VAR330;
VAR277=VAR195;
end
16'VAR258: begin VAR246=VAR210; VAR28=VAR330;
VAR277=VAR195;
end
16'VAR333: begin VAR246=VAR204; VAR28=VAR330;
VAR277=VAR195;
end
16'VAR350: begin VAR246=VAR219; VAR28=VAR392;
end
16'VAR171: begin VAR246=VAR64; VAR28=VAR330;
end
16'VAR5: begin VAR246=VAR225; VAR28=VAR330;
end
16'VAR272: begin VAR246=VAR206; VAR28=VAR268;
end
16'VAR283: begin VAR246=VAR216; VAR28=VAR268;
end
16'VAR173: begin VAR246=VAR65; VAR28=VAR268;
end
16'VAR152: begin VAR246=VAR184; VAR28=VAR268;
end
16'VAR253: begin VAR246=VAR252 ? VAR365 : VAR139;
VAR28=VAR179;
end
16'VAR32: begin VAR246=VAR51; VAR28=VAR268;
end
16'VAR75: begin VAR246 = VAR334 ? VAR339 : VAR202;
VAR28=VAR203;
VAR277=VAR336;
end
16'VAR183: begin if(VAR285) begin
case(VAR66[7:4])
4'h0: begin
VAR246=VAR204; VAR28=VAR74;
end
4'h1: begin
VAR246=VAR34; VAR28=VAR74;
end
4'h2: begin
VAR246=VAR270; VAR28=VAR74;
end
4'h3: begin
VAR246=VAR185; VAR28=VAR74;
end
4'h4: begin
VAR246=VAR93; VAR28=VAR74;
end
4'h5: begin
VAR246=VAR191; VAR28=VAR74;
end
4'h6: begin
VAR246=VAR156; VAR28=VAR74;
end
4'h7: begin
VAR246=VAR136; VAR28=VAR74;
end
4'h8: begin
VAR246=VAR231; VAR28=VAR74;
end
4'h9: begin
VAR246=VAR96; VAR28=VAR74;
end
4'hC: begin
VAR246=VAR344; VAR28=VAR74;
VAR277=VAR388;
end
4'hD: begin
VAR246=VAR250; VAR28=VAR74;
VAR277=VAR388;
end
4'hE: begin
VAR246=VAR240; VAR28=VAR74;
VAR277=VAR388;
end
default: begin end
endcase
end
else
begin
VAR246 = VAR334 ? VAR339 : VAR202;
VAR28=VAR203;
VAR277=VAR308;
end
end
16'VAR276: begin VAR246=VAR260; VAR28=VAR268;
end
16'VAR144: begin end
16'VAR248: begin VAR246=VAR130; VAR28=VAR268;
end
16'VAR197: begin VAR246=VAR302; VAR28=VAR268;
end
16'VAR61: begin VAR246=VAR252 ? VAR132 : VAR303;
VAR28=VAR179;
end
16'VAR199: begin VAR246=VAR58; VAR28=VAR268;
end
16'VAR4: begin VAR246 = VAR334 ? VAR314 : VAR14;
VAR28=VAR203;
VAR277=VAR337;
end
16'VAR220: begin VAR246 = VAR334 ? VAR339 : VAR14;
VAR28=VAR203;
VAR277=VAR95;
end
16'VAR91: begin VAR246=VAR156; VAR28=VAR38;
VAR166=2;
end
16'VAR332: begin VAR246=VAR156; VAR28=VAR38;
VAR166=8;
end
16'VAR249: begin VAR246=VAR156; VAR28=VAR38;
VAR166=16;
end
16'VAR48: begin VAR246=VAR156; VAR28=VAR38;
VAR166=-2;
end
16'VAR389: begin VAR246=VAR156; VAR28=VAR38;
VAR166=-8;
end
16'VAR324: begin VAR246=VAR156; VAR28=VAR38;
VAR166=-16;
end
16'VAR175: begin VAR246=VAR54; VAR28=VAR163;
VAR277=VAR337;
end
16'VAR81: begin
VAR246=VAR363; VAR28=VAR179;
end
16'VAR368: begin
VAR246=VAR102; VAR28=VAR179;
end
16'VAR278: begin
end
16'VAR187: begin
VAR246=VAR124; VAR28=VAR179;
end
16'VAR213: begin VAR246=VAR252 ? VAR343 : VAR231;
VAR28=VAR330;
VAR277=VAR329;
end
16'VAR390: begin VAR246=VAR252 ? VAR319 : VAR156;
VAR28=VAR330;
VAR277=VAR329;
end
16'VAR76: begin if(VAR285) begin
case(VAR66[7:4])
4'h0: begin
VAR246=VAR358; VAR28=VAR74;
end
4'h1: begin
VAR246=VAR376; VAR28=VAR74;
end
default: begin end
endcase
end
else
begin
VAR246=VAR54; VAR28=VAR163;
VAR277=VAR95;
end
end
16'VAR310: begin VAR246 = VAR33 ? VAR314 : VAR14;
VAR28=VAR71;
end
16'VAR357: begin VAR246=VAR294; VAR28=VAR62;
end
16'VAR105: begin VAR246 = VAR243 ? VAR314 : VAR98;
VAR28=VAR62;
end
16'VAR271: begin VAR246 = VAR33 ? VAR314 : VAR14;
VAR28=VAR62;
end
16'VAR123: begin if(VAR285) begin
VAR246=VAR314; VAR28=VAR62;
end else begin
VAR246=VAR54; VAR28=VAR163;
end
end
16'VAR190: begin if(VAR285) begin
VAR246=VAR158; VAR28=VAR227;
end else begin
VAR246=VAR294; VAR28=VAR203;
VAR277=VAR194;
end
end
16'VAR149: begin if(VAR285) begin
VAR246=VAR215; VAR28=VAR227;
end else begin
VAR246 = VAR243 ? VAR314 : VAR98;
VAR28=VAR203;
VAR277=VAR194;
end
end
16'VAR325: begin if(VAR285) begin
VAR246=VAR47; VAR28=VAR227;
end else begin
VAR246 = VAR33 ? VAR314 : VAR14;
VAR28=VAR203;
VAR277=VAR194;
end
end
16'VAR150: begin
if(VAR285) begin
VAR246=VAR134; VAR28=VAR227;
end else begin
VAR246=VAR356; VAR28=VAR163;
end
end
16'VAR108: begin
if(VAR285) begin
VAR246=VAR158; VAR28=VAR62;
end else begin
VAR246=VAR83; VAR28=VAR163;
end
end
16'VAR57: begin
if(VAR285) begin
VAR246=VAR215; VAR28=VAR62;
end else begin
VAR246=VAR128; VAR28=VAR163;
end
end
16'VAR40: begin
if(VAR285) begin
VAR246=VAR47; VAR28=VAR62;
end else begin
VAR246=VAR114; VAR28=VAR163;
end
end
16'VAR360: begin
if(VAR285) begin
VAR246=VAR134; VAR28=VAR62;
end else begin
VAR246=VAR353; VAR28=VAR163;
end
end
16'VAR257: begin
if(VAR285) begin
VAR246=VAR358; VAR28=VAR62;
end else begin
VAR246=VAR305; VAR28=VAR163;
end
end
16'VAR317: begin
if(VAR285) begin
VAR246=VAR376; VAR28=VAR62;
end else begin
VAR246=VAR154; VAR28=VAR163;
end
end
16'VAR312: begin
if(VAR285) begin
VAR246=VAR304; VAR28=VAR62;
end else begin
VAR246=VAR20; VAR28=VAR163;
end
end
16'VAR31: begin
if(VAR285) begin
VAR246=VAR314; VAR28=VAR62;
end else begin
VAR246=VAR309; VAR28=VAR163;
end
end
16'VAR236: begin VAR246=VAR204; VAR28=VAR295;
end
16'VAR170: begin VAR246=VAR247;
VAR28=VAR238;
VAR277=VAR153;
end
16'VAR306: begin VAR246=VAR180;
VAR28=VAR238;
VAR277=VAR153;
end
16'VAR25: begin VAR246=VAR327; VAR28=VAR55;
end
16'VAR318: begin if(VAR285) begin
VAR246=VAR297; VAR28=VAR55;
end else begin
VAR28=VAR238;
if(VAR66[7])
begin
VAR246=VAR14;
VAR277=VAR29;
end else begin
VAR246=VAR202;
VAR277=VAR119;
end
end
end
16'VAR298: begin VAR246=VAR294;
VAR28=VAR238;
VAR277=VAR229;
end
16'VAR261: begin VAR246=VAR98;
VAR28=VAR238;
VAR277=VAR229;
end
16'VAR89: begin if(VAR285) begin
end else begin
VAR28=VAR238;
if(VAR66[7])
begin
VAR246=VAR14;
VAR277=VAR155;
end else begin
VAR246=VAR202;
VAR277=VAR41;
end
end
end
16'VAR148: begin end
16'VAR239: begin VAR246=VAR228;
VAR28=VAR296;
end
16'VAR273: begin VAR246=VAR131; VAR28=VAR55;
end
16'VAR15: begin if(VAR285) begin
end else begin
VAR375=VAR70;
VAR246=VAR22;
VAR28=VAR101;
end
end
16'VAR137: begin VAR246=VAR301; VAR28=VAR55;
end
16'VAR111: begin
end
16'VAR68: begin VAR246=VAR264; VAR28=VAR55;
end
16'VAR77: begin
end
16'VAR8: begin VAR246=VAR226; VAR28=VAR55;
end
16'VAR372: begin if(VAR285)
begin VAR246=VAR218;
VAR28=VAR295;
end else begin VAR375[3:0]=VAR66[11:8];
VAR380=VAR366;
VAR263=VAR121;
VAR166[7:0]=VAR66[ 7:0];
VAR246=VAR98;
VAR28=VAR101;
end
end
16'VAR322: begin VAR246=VAR385; VAR28=VAR359;
end
16'VAR50: begin VAR246=VAR265; VAR28=VAR359;
end
16'VAR19: begin
if(VAR334)
begin
VAR246=VAR339;
VAR28=VAR238;
VAR277=VAR99;
end else begin
VAR246=VAR247;
VAR28=VAR174;
VAR277=VAR99;
end
end
16'VAR182: begin
if(VAR334)
begin
end else begin
VAR246=VAR180;
VAR28=VAR174;
VAR277=VAR99;
end
end
16'VAR45: begin
if(VAR334)
begin
end else begin
VAR246=VAR202;
VAR28=VAR174;
VAR277=VAR99;
end
end
16'VAR331: begin
if(VAR334)
begin
VAR246=VAR314;
VAR28=VAR238;
VAR277=VAR280;
end else begin
VAR246=VAR294;
VAR28=VAR174;
VAR277=VAR280;
end
end
16'VAR212: begin
if(VAR334)
begin
end else begin
VAR246=VAR98;
VAR28=VAR174;
VAR277=VAR280;
end
end
16'VAR234: begin
if(VAR334)
begin
end else begin
VAR246=VAR14;
VAR28=VAR174;
VAR277=VAR280;
end
end
16'VAR211: begin VAR246=VAR252 ? VAR393 : VAR106;
VAR28=VAR135;
end
16'VAR118: begin VAR246=VAR185; VAR28=VAR296;
end
16'VAR69: begin VAR246=VAR191; VAR28=VAR296;
end
16'VAR384: begin VAR246=VAR93; VAR28=VAR296;
end
16'VAR12: begin VAR375[3:0]=VAR66[11:8];
VAR380=VAR24;
VAR263=VAR121;
VAR166[7:0]=VAR66[ 7:0];
VAR246=VAR14;
VAR28=VAR101;
end
16'VAR140: begin VAR246=VAR22;
VAR28=VAR295;
end
16'VAR287: begin
VAR246=VAR344;
VAR28=VAR1;
end
16'VAR21: begin
VAR246=VAR250;
VAR28=VAR1;
end
16'VAR269: begin
VAR246=VAR240;
VAR28=VAR1;
end
16'VAR43: begin
VAR246=VAR371;
VAR28=VAR1;
end
16'VAR383: begin
VAR246=VAR18;
VAR28=VAR1;
end
16'VAR345: begin
VAR246=VAR14; VAR28=VAR227;
VAR277=VAR107;
end
16'VAR352: begin
VAR246=VAR202; VAR28=VAR227;
VAR277=VAR361;
end
16'VAR241: begin
VAR246=VAR14; VAR28=VAR62;
VAR277=VAR107;
end
16'VAR37: begin
VAR246=VAR14; VAR28=VAR203;
VAR277=VAR193;
end
16'VAR80: begin
VAR246=VAR202; VAR28=VAR62;
VAR277=VAR361;
end
16'VAR254: begin
VAR217=1;
VAR277=VAR267;
end
default: begin end
endcase
if(VAR293)
begin
VAR362 = {2'b00, VAR311[2], VAR66[11:8]};
VAR223 = {2'b00, VAR157[7], VAR66[11:8]};
VAR181 = {2'b00, VAR157[6], VAR66[11:8]};
VAR186 = {2'b00, VAR311[1], VAR66[ 7:4]};
VAR262 = {2'b00, VAR157[6], VAR66[ 7:4]};
VAR351 = {2'b00, VAR157[5], VAR66[ 7:4]};
VAR85 = {2'b00, VAR157[4], VAR66[ 3:0]};
VAR313 = {2'b00, VAR157[4], VAR157 [ 3:0]};
VAR266 = {2'b00, VAR311[0], VAR66[ 3:0]};
VAR100 = {VAR157[5] ? 26'h3FFFFFF : 26'h0, VAR157 [ 5:0]};
VAR92 = {26'h000000, VAR157 [ 5:0]};
VAR86 = {26'hFFFFFF, VAR157 [ 5:0]};
end
else
begin
VAR362 = {3'b000, VAR66[11:8]};
VAR186 = {3'b000, VAR66[ 7:4]};
VAR266 = {3'b000, VAR66[ 3:0]};
VAR223 = VAR362;
VAR262 = VAR186;
VAR181 = 7'VAR374;
VAR351 = 7'VAR374;
VAR85 = 7'VAR374;
VAR313 = 7'VAR374;
end
if(VAR66[11]) VAR177={3'h2, 1'b0, VAR66[6:4]};
end
else
VAR177={3'h7, VAR66[7:4]};
VAR224={3'h6, VAR66[7:4]};
VAR122 = {3'h4, VAR66[11:8]};
VAR364 = {3'h4, VAR66[ 7:4]};
VAR16 = {3'h4, VAR66[ 3:0]};
if(VAR56)
begin
VAR235 = {3'b000, VAR157 [ 7:4]};
VAR129 = {3'b000, VAR157 [ 3:0]};
VAR36 = {3'h4, VAR157 [ 7:4]};
VAR237 = {3'h4, VAR157 [ 3:0]};
VAR169 = {28'h0, VAR157 [ 3:0]};
VAR370 = {VAR157[7] ? 24'hFFFFFF : 24'h0, VAR157 [ 7:0]};
VAR44 = {24'h000000, VAR157 [ 7:0]};
VAR110 = {24'hFFFFFF, VAR157 [ 7:0]};
VAR347 = {VAR157[7] ? 20'hFFFFF : 20'h0,
VAR157 [ 7:0], VAR66 [ 7:4]};
VAR2 = {VAR157[7] ? 20'hFFFFF : 20'h0,
VAR157 [ 7:0], VAR66 [ 3:0]};
VAR221 = {VAR157[7] ? 16'hFFFF : 16'h0000,
VAR157 [ 7:0], VAR66 [ 7:0]};
VAR348 = {VAR157[7] ? 12'hFFF : 12'h000,
VAR157 [ 7:0], VAR66 [11:0]};
VAR125 = (VAR66[6:4]==3'b111) ? VAR70 :
{3'h0, 1'b1, VAR66[6:4]};
VAR46 = (VAR66[2:0]==3'b111) ? VAR70 :
{3'h0, 1'b1, VAR66[2:0]};
end
else
begin
VAR235 = 7'VAR374; VAR129 = 7'VAR374;
VAR36 = 7'VAR374; VAR237 = 7'VAR374;
VAR169 = 32'VAR315; VAR370 = 32'VAR315;
VAR44 = 32'VAR315; VAR110 = 32'VAR315;
VAR221 = 32'VAR315; VAR348 = 32'VAR315;
VAR347 = 32'VAR315; VAR2 = 32'VAR315;
VAR125=VAR162; VAR46=VAR162;
end
VAR168 = {28'h0, VAR66[ 3:0]};
VAR274 = {24'h0, VAR66[ 7:0]};
VAR292 = {VAR66[ 7] ? 24'hFFFFFF : 24'h000000, VAR66 [ 7:0]};
VAR349 = {VAR66[11] ? 20'hFFFFF : 20'h00000 , VAR66 [11:0]};
case(VAR28)
VAR101: begin
end
VAR268: begin
VAR375=VAR362;
VAR380=VAR375;
end
VAR62: begin
if(VAR164)
begin
VAR375 = VAR223; VAR380 = VAR262;
VAR166 = VAR92;
end else if(VAR381)
begin
VAR375 = VAR362; VAR380 = VAR186;
VAR166 = VAR370;
end else begin
VAR375=VAR362; VAR380=VAR186;
end
end
VAR227: begin
if(VAR164)
begin
VAR375=VAR181; VAR380=VAR351;
VAR263=VAR313; VAR166=0;
end else if(VAR381)
begin
VAR375=VAR362; VAR380=VAR186;
VAR263=VAR235; VAR166=VAR169;
end else begin
VAR375=VAR362; VAR380=VAR186;
VAR263=VAR70; VAR166=0;
end
end
VAR71: begin
if(VAR164)
begin
VAR375 = VAR181;
VAR380 = VAR351;
VAR263 = VAR85;
VAR166 = VAR169;
end else if(VAR381)
begin
VAR375 = VAR362; VAR380 = VAR186;
VAR263 = VAR266; VAR166 = VAR370;
end else begin
VAR375=VAR362; VAR380=VAR186;
VAR166 = VAR168;
end
end
VAR203: begin
case(VAR277)
VAR160: begin
VAR375=VAR362; VAR380=VAR186;
VAR263=VAR103;
end
VAR194: begin
VAR375=VAR362; VAR380=VAR186;
VAR263=VAR387;
end
VAR267: begin
VAR375=VAR362; VAR380=VAR364;
VAR263=VAR103;
end
VAR193: begin
VAR375=VAR122; VAR380=VAR186;
VAR263=VAR387;
end
VAR337: begin
VAR375=VAR224; VAR380=VAR362;
VAR263=VAR387;
end
VAR95: begin
VAR375=VAR177; VAR380=VAR362;
VAR263=VAR387;
end
VAR336: begin
VAR375=VAR362; VAR380=VAR224;
VAR263=VAR103;
end
VAR308: begin
VAR375=VAR362; VAR380=VAR177;
VAR263=VAR103;
end
default: begin
VAR375=VAR162; VAR380=VAR162;
VAR263=VAR162; VAR166=32'VAR315;
end
endcase
end
VAR1: begin
VAR375=VAR122;
VAR380=VAR364;
end
VAR163: begin
case(VAR277)
VAR59: begin
VAR375=VAR362; VAR380=VAR186;
end
VAR388: begin
VAR375=VAR122; VAR380=VAR364;
end
VAR337: begin
VAR375=VAR224; VAR380=VAR362;
end
VAR95: begin
VAR375=VAR177; VAR380=VAR362;
end
VAR336: begin
VAR375=VAR362; VAR380=VAR224;
end
VAR308: begin
VAR375=VAR362; VAR380=VAR177;
end
default: begin
VAR375=VAR162; VAR380=VAR162;
VAR263=VAR162; VAR166=32'VAR315;
end
endcase
end
VAR330: begin
if(VAR164)
begin
VAR375=VAR223; VAR380=VAR262;
VAR263=VAR386;
case(VAR277)
VAR329: VAR166=VAR100;
VAR195: VAR166=VAR92;
VAR335: VAR166=VAR86;
default: begin end
endcase
end else if(VAR381)
begin
VAR375=VAR362; VAR380=VAR186;
VAR263=VAR386;
case(VAR277)
VAR329: VAR166=VAR370;
VAR195: VAR166=VAR44;
VAR335: VAR166=VAR110;
default: begin end
endcase
end else begin
VAR375=VAR362; VAR380=VAR362; VAR263=VAR186;
end
end
VAR256: begin
if(VAR381)
begin
VAR380=VAR362; VAR263=VAR386;
VAR166=VAR347;
end else begin
VAR380=VAR362; VAR263=VAR186;
end
end
VAR392: begin
VAR375=VAR362; VAR380=VAR186;
end
VAR295: begin
if(VAR285)
begin
VAR375={2'h0, VAR164, VAR66[11:8]};
VAR380=VAR375;
VAR263=VAR386; VAR166=VAR221;
end else begin
VAR375=VAR362; VAR380=VAR362;
VAR263=VAR386; VAR166=VAR292;
end
end
VAR55: begin
VAR166 = VAR285 ? VAR221 : VAR292;
end
VAR359: begin
VAR166 = VAR285 ? VAR348 : VAR349;
end
VAR296: begin
if(VAR285)
begin
VAR375=VAR186; VAR380=VAR375;
VAR263=VAR386; VAR166=VAR2;
end else begin
VAR375=VAR70; VAR380=VAR70;
VAR263=VAR386; VAR166=VAR274;
end
end
VAR38: begin
VAR375=VAR362; VAR380=VAR362;
VAR263=VAR386;
end
VAR174: begin
case(VAR277)
VAR99: begin
VAR375=VAR192; VAR380=VAR70;
VAR263=VAR386; VAR166=VAR274;
end
VAR280: begin
VAR375=VAR70; VAR380=VAR192;
VAR263=VAR386; VAR166=VAR274;
end
default: begin
VAR375=VAR162; VAR380=VAR162;
VAR263=VAR162; VAR166=32'VAR315;
end
endcase
end
VAR238: begin
case(VAR277)
VAR99: begin
VAR375=VAR109; VAR380=VAR186;
VAR263=VAR386; VAR166=VAR168;
end
VAR280: begin
VAR375=VAR186; VAR380=VAR109;
VAR263=VAR386; VAR166=VAR168;
end
VAR119: begin
VAR375=VAR109; VAR380=VAR125;
VAR263=VAR386;
VAR166[3:0]=VAR66[3:0];
VAR166[31:4]=1;
end
VAR29: begin
VAR375=VAR125; VAR380=VAR109;
VAR263=VAR386;
VAR166[3:0]=VAR66[3:0];
VAR166[31:4]=1;
end
VAR41: begin
VAR375=VAR109;
VAR380={3'h4, 1'b1, VAR66[6:4]};
VAR263=VAR386; VAR166=VAR168;
end
VAR155: begin
VAR375={3'h4, 1'b1, VAR66[6:4]};
VAR380=VAR109;
VAR263=VAR386; VAR166=VAR168;
end
VAR153: begin
VAR375=VAR186; VAR380=VAR70;
VAR263=VAR386; VAR166=VAR168;
end
VAR229: begin
VAR375=VAR70; VAR380=VAR186;
VAR263=VAR386; VAR166=VAR168;
end
default: begin
VAR375=VAR162; VAR380=VAR162;
VAR263=VAR162; VAR166=32'VAR315;
end
endcase
end
VAR74: begin
case(VAR277)
VAR59: begin
VAR375=VAR362;
VAR380=VAR235;
VAR263=VAR129;
end
VAR388: begin
VAR375=VAR122;
VAR380=VAR36;
VAR263=VAR237;
end
default: begin
VAR375=VAR162; VAR380=VAR162;
VAR263=VAR162; VAR166=32'VAR315;
end
endcase
end
default: begin
VAR375=VAR162; VAR380=VAR162;
VAR263=VAR162; VAR166=32'VAR315;
end
endcase
end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/decap/sky130_fd_sc_hs__decap.behavioral.v
| 1,112 |
module MODULE1 (
VAR1,
VAR2
);
input VAR1;
input VAR2;
endmodule
|
apache-2.0
|
Jafet95/I-Proyecto-Laboratorio-de-Dise-o-Sistemas-Digitales
|
Sincronizador.v
| 1,188 |
module MODULE1(VAR13,VAR9,VAR5,VAR4,VAR12,VAR8,VAR1,VAR3,VAR17,VAR6,clk);
input wire VAR13,VAR9,VAR5,VAR4,VAR12,clk;
output wire VAR8,VAR1,VAR17,VAR6,VAR3;
VAR18 VAR16 (
.VAR14(VAR13),
.clk(clk),
.VAR7(VAR8)
);
VAR18 VAR10 (
.VAR14(VAR9),
.clk(clk),
.VAR7(VAR1)
);
VAR18 VAR2 (
.VAR14(VAR5),
.clk(clk),
.VAR7(VAR3)
);
VAR18 VAR15 (
.VAR14(VAR4),
.clk(clk),
.VAR7(VAR17)
);
VAR18 VAR11 (
.VAR14(VAR12),
.clk(clk),
.VAR7(VAR6)
);
endmodule
|
apache-2.0
|
Given-Jiang/Sobel_Filter_Altera_OpenCL_DE1-SoC
|
bin_Sobel_Filter/system/synthesis/submodules/acl_atomics_nostall.v
| 39,283 |
module MODULE2
(
VAR75, VAR46,
VAR127,
VAR157,
VAR118,
VAR102,
VAR122,
VAR112,
VAR87,
VAR19,
VAR43,
VAR11,
VAR109,
VAR97,
VAR54,
VAR57,
VAR35,
VAR82,
VAR70,
VAR145,
VAR60,
VAR61
);
parameter VAR51=3;
parameter VAR63=4;
parameter VAR105=8'b11111111; parameter VAR136=27; parameter VAR1=96; parameter VAR25=6; parameter VAR2=32; parameter VAR79=32; parameter VAR24=4; parameter VAR83=32;
parameter VAR45=0;
localparam VAR3=VAR68(VAR79);
localparam VAR26=VAR68(VAR1);
localparam VAR142=(VAR1 >> 3);
localparam VAR115=VAR68(VAR142);
localparam VAR151=VAR68(VAR63);
localparam VAR59=0;
localparam VAR96=1;
localparam VAR5=2;
localparam VAR76=3;
localparam VAR113 = 4;
localparam VAR6=0;
localparam VAR131=1;
localparam VAR32=2;
localparam VAR64=3;
localparam VAR119 = 4;
localparam VAR69=VAR24;
localparam VAR53 = VAR68(VAR24);
localparam VAR154 = VAR68(VAR113);
localparam VAR159 = VAR68(VAR119);
input logic VAR75;
input logic VAR46;
input logic VAR127;
input logic VAR157;
input logic [VAR25-1:0] VAR118;
input logic [VAR136-1:0] VAR102;
input logic [VAR1-1:0] VAR122;
input logic [VAR2-1:0] VAR112;
output logic VAR87;
output logic [VAR1-1:0] VAR19;
output logic VAR43;
output logic VAR11;
output VAR109;
output VAR97;
output [VAR25-1:0] VAR54;
output [VAR136-1:0] VAR57;
output [VAR1-1:0] VAR35;
output [VAR2-1:0] VAR82;
input VAR70;
input [VAR1-1:0] VAR145;
input VAR60;
input VAR61;
reg [VAR159-1:0] VAR72 [0:VAR24]; reg [VAR154-1:0] VAR141 [0:VAR24]; reg [VAR51-1:0] VAR156 [0:VAR24]; reg [VAR136-1:0] VAR124 [0:VAR24];
reg [VAR2-1:0] VAR147 [0:VAR24];
reg [VAR25-1:0] VAR56 [0:VAR24];
reg [VAR26-1:0] VAR86 [0:VAR24];
reg [VAR79-1:0] VAR120 [0:VAR24]; reg [VAR79-1:0] VAR28 [0:VAR24]; reg [VAR79-1:0] VAR138 [0:VAR24]; reg VAR55 [0:VAR24]; reg [VAR2-1:0] VAR13 [0:VAR24]; reg [VAR24-1:0] VAR16[0:VAR24]; reg [VAR25-1:0] VAR37 [0:VAR24]; reg [VAR79-1:0] VAR132 [0:VAR24];
reg [VAR79-1:0] VAR27; wire [VAR79-1:0] VAR95;
reg [VAR83-1:0] VAR149; reg [VAR83-1:0] VAR67;
reg [VAR53:0] VAR49; reg [VAR53:0] VAR85; wire VAR36;
logic VAR47[0:VAR24];
logic VAR134[0:VAR24];
logic VAR98[0:VAR24];
logic VAR143[0:VAR24];
logic VAR9[0:VAR24];
logic [VAR24:0] VAR30;
wire [VAR24:0] VAR89;
wire [VAR159-1:0] VAR125;
wire [VAR79-1:0] VAR71;
wire [VAR79-1:0] VAR29;
wire [VAR26-1:0] VAR128;
wire [VAR51-1:0] VAR40;
wire VAR34;
logic [VAR53:0] VAR135;
wire [VAR26-1:0] VAR153;
wire VAR133;
wire [VAR79-1:0] VAR123;
reg [VAR53:0] VAR17;
reg [VAR53:0] VAR126;
reg [VAR159-1:0] VAR62;
reg [VAR51-1:0] VAR18;
reg [VAR79-1:0] VAR77;
reg [VAR79-1:0] VAR52;
reg [VAR26-1:0] VAR10;
reg [VAR1-1:0] VAR44; reg [VAR53:0] VAR121;
reg [VAR53:0] VAR130;
reg [VAR53:0] VAR158;
reg [VAR159-1:0] VAR74;
reg [VAR1-1:0] VAR114;
reg [VAR136-1:0] VAR22;
reg [VAR2-1:0] VAR144;
reg [VAR25-1:0] VAR101;
wire VAR21;
wire VAR12;
reg [VAR53:0] VAR84;
reg [VAR53:0] VAR146;
wire VAR4;
wire VAR100;
wire VAR117;
wire VAR80;
wire [VAR1-1:0] VAR94;
wire VAR129;
wire VAR140;
wire VAR108;
wire VAR106;
wire VAR104;
wire VAR50;
wire VAR148;
wire VAR90; wire VAR150; wire VAR33; wire VAR93; reg [VAR83-1:0] VAR91;
reg [VAR83-1:0] VAR31;
integer VAR139;
wire [VAR25-1:0] VAR20;
generate
if( VAR45 == 0 ) assign VAR20 = VAR118;
else assign VAR20 = 1;
endgenerate
assign VAR109 = ( VAR129 || VAR90 );
assign VAR97 = ( VAR140 || VAR108 );
assign VAR54 = ( VAR129 || VAR90 || VAR140 ) ? VAR20 : VAR101;
assign VAR57 = ( VAR129 || VAR90 || VAR140 ) ? VAR102 : VAR22;
assign VAR35 = VAR140 ? VAR122 : VAR114;
assign VAR82 = ( VAR129 || VAR90 || VAR140 ) ? VAR112 : VAR144;
assign VAR87 = ( VAR70 || ( VAR127 && !VAR4 && !VAR90 ) );
assign VAR43 = ( VAR80 | VAR93 );
assign VAR11 = VAR61;
assign VAR19 = VAR93 ? VAR145 : VAR94;
assign VAR4 = ~VAR90 && ~VAR36 &&
~VAR108;
assign VAR100 = 1'b1;
assign VAR117 = ~( VAR90 || ( VAR157 && VAR100) );
assign VAR129 = VAR127 && VAR4;
assign VAR140 = VAR157 && VAR100;
assign VAR108 = VAR117 && VAR158 != VAR69 && VAR74 == VAR64;
assign VAR152 = ( ~VAR70 && VAR117 );
assign VAR106 = ( ~VAR70 && VAR117 && VAR158 != VAR69 );
assign VAR104 = ( ~VAR70 && VAR4 && VAR127 );
assign VAR50 = ( ~VAR70 && VAR4 && VAR125 == VAR64 );
assign VAR148 = ( ~VAR70 && VAR117 && VAR158 != VAR69 && VAR74 == VAR64 );
assign VAR125 = ( VAR127 & VAR122[0:0] ) ? VAR64 : VAR127 ? VAR131 : VAR157 ? VAR32 : VAR6;
assign VAR71 = VAR122[1 +: VAR79]; assign VAR29 = VAR122[VAR79+1 +: VAR79]; assign VAR40 = VAR122[2*VAR79+1 +: VAR51]; assign VAR128 = ( VAR122[2*VAR79+VAR51+1 +: VAR115 ] << (VAR3 - VAR151) );
assign VAR90 = ( VAR125 == VAR131 && VAR49 == 0 );
assign VAR150 = ( VAR90 && ~VAR70 );
assign VAR33 = ( VAR91 != VAR31 );
assign VAR93 = ( ( VAR60 == 1'b1 ) && ( VAR91 != VAR31 ) );
always@(posedge VAR75 or negedge VAR46)
begin
if ( !VAR46 ) begin
VAR91 <= { VAR83{1'b0} };
VAR31 <= { VAR83{1'b0} };
end
else begin
if( VAR150 ) begin
VAR91 <= VAR91 + VAR20;
end
if( VAR93 ) begin
VAR31 <= VAR31 + 1;
end
end
end
assign VAR36 = (VAR85 == VAR69);
always@(posedge VAR75 or negedge VAR46)
begin
if ( !VAR46 ) begin
VAR85 <= 0;
end
else begin
if( VAR146 == VAR69 && !VAR104 ) begin
VAR85 <= 0;
end
else if( VAR146 == VAR69 && VAR104 ) begin
VAR85 <= 1;
end
else if( VAR104 ) begin
VAR85 <= ( VAR141[(VAR85+1)%VAR24] == VAR59 ) ? ( (VAR85+1)%VAR24 ) :
( VAR21 ) ? VAR84 : VAR69;
end
else if( VAR12 ) begin
VAR85 <= 0;
end
else if( VAR21 && VAR85 == VAR69 ) begin
VAR85 <= VAR84;
end
end
end
always@(posedge VAR75 or negedge VAR46)
begin
if ( !VAR46 ) begin
VAR49 <= {VAR53{1'b0}};
end
else begin
if( VAR50 && !VAR148 ) begin
VAR49 <= VAR49 + 1;
end
if( !VAR50 && VAR148 ) begin
VAR49 <= VAR49 - 1;
end
end
end
always @
begin
VAR110 = VAR145;
if( VAR66 ) begin
VAR110[VAR153 +: VAR79] = VAR95;
end
else if( VAR133 == 1'b1 ) begin
VAR110[VAR153 +: VAR79] = VAR123;
end
end
assign VAR80 = VAR34;
assign VAR94 = VAR110;
wire [VAR79-1:0] VAR14;
assign VAR14 = VAR66 ? VAR95 :
( VAR133 == 1'b1 ) ? VAR123 :
VAR145[VAR153 +: VAR79];
always@(posedge VAR75 or negedge VAR46)
begin
if (!VAR46) begin
VAR27 <= {VAR79{1'b0}};
end
else begin
VAR27 <= VAR14;
end
end
MODULE1 # (.VAR105(VAR105), .VAR51(VAR51), .VAR79(VAR79)) VAR103
(
.VAR92( VAR27 ),
.VAR137( VAR18 ),
.VAR116( VAR77 ),
.VAR39( VAR52 ),
.VAR99( VAR95 )
);
always@(posedge VAR75 or negedge VAR46)
begin
for (VAR139=0; VAR139<=VAR24; VAR139=VAR139+1)
begin
if (!VAR46) begin
VAR132[VAR139] <= {VAR1{1'b0}};
end
else if( VAR141[VAR139] == VAR5 ) begin
VAR132[VAR139] <= VAR95;
end
end
end
always@(posedge VAR75 or negedge VAR46)
begin
for (VAR139=0; VAR139<=VAR24; VAR139=VAR139+1)
begin
if (!VAR46) begin
VAR138[VAR139] <= {VAR79{1'b0}};
VAR55[VAR139] <= 1'b0;
end
else if( VAR127 == 1'b1 && VAR139 == VAR85 ) begin
VAR138[VAR139] <= {VAR79{1'b0}};
VAR55[VAR139] <= 1'b0;
end
else if( (VAR16[VAR139] & (1 << VAR126)) != 0 ) begin
VAR138[VAR139] <= VAR95;
VAR55[VAR139] <= 1'b1;
end
else if( ( VAR16[VAR139] & (1 << VAR121) ) != 0 ) begin
VAR138[VAR139] <= VAR44;
VAR55[VAR139] <= 1'b1;
end
end
end
endmodule
module MODULE1
(
VAR92,
VAR137,
VAR116,
VAR39,
VAR99
);
parameter VAR51=3; parameter VAR79=32;
parameter VAR105=8'b00000001;
localparam VAR15=0;
localparam VAR155=1;
localparam VAR81=2;
localparam VAR7=3;
localparam VAR41=4;
localparam VAR48=5;
localparam VAR107=6;
localparam VAR38=7;
input logic [VAR79-1:0] VAR92;
input logic [VAR51-1:0] VAR137;
input logic [VAR79-1:0] VAR116;
input logic [VAR79-1:0] VAR39;
output logic [VAR79-1:0] VAR99;
wire [31:0] VAR88 ;
wire [31:0] VAR42 ;
wire [31:0] VAR111 ;
wire [31:0] VAR23 ;
wire [31:0] VAR8 ;
wire [31:0] VAR78 ;
wire [31:0] VAR58 ;
wire [31:0] VAR73 ;
generate
if( ( VAR105 & (1 << VAR15) ) != 0 ) assign VAR88 = VAR92 + VAR116;
else assign VAR88 = {VAR51{1'VAR65}};
endgenerate
generate
if( ( VAR105 & (1 << VAR155) ) != 0 ) assign VAR42 = VAR116;
else assign VAR42 = {VAR51{1'VAR65}};
endgenerate
generate
if( ( VAR105 & (1 << VAR81) ) != 0 ) assign VAR111 = ( VAR92 == VAR116 ) ? VAR39 : VAR92;
else assign VAR111 = {VAR51{1'VAR65}};
endgenerate
generate
if( ( VAR105 & (1 << VAR7) ) != 0 ) assign VAR23 = ( VAR92 < VAR116 ) ? VAR92 : VAR116;
else assign VAR23 = {VAR51{1'VAR65}};
endgenerate
generate
if( ( VAR105 & (1 << VAR41) ) != 0 ) assign VAR8 = (VAR92 > VAR116) ? VAR92 : VAR116;
else assign VAR8 = {VAR51{1'VAR65}};
endgenerate
generate
if( ( VAR105 & (1 << VAR48) ) != 0 ) assign VAR78 = ( VAR92 & VAR116 );
else assign VAR78 = {VAR51{1'VAR65}};
endgenerate
generate
if( ( VAR105 & (1 << VAR107) ) != 0 ) assign VAR58 = ( VAR92 | VAR116 );
else assign VAR58 = {VAR51{1'VAR65}};
endgenerate
generate
if( ( VAR105 & (1 << VAR38) ) != 0 ) assign VAR73 = ( VAR92 ^ VAR116 );
else assign VAR73 = {VAR51{1'VAR65}};
endgenerate
always @(*)
begin
case ( VAR137 )
VAR15:
begin
VAR99 = VAR88;
end
VAR155:
begin
VAR99 = VAR42;
end
VAR81:
begin
VAR99 = VAR111;
end
VAR7:
begin
VAR99 = VAR23;
end
VAR41:
begin
VAR99 = VAR8;
end
VAR48:
begin
VAR99 = VAR78;
end
VAR107:
begin
VAR99 = VAR58;
end
default:
begin
VAR99 = VAR73;
end
endcase
end
endmodule
|
mit
|
smithe0/GestureControlInterface
|
DE2Component_FLASH/niosII_system/synthesis/submodules/niosII_system_sram_0.v
| 7,223 |
module MODULE1 (
clk,
reset,
address,
VAR13,
read,
write,
VAR14,
VAR15,
VAR10,
VAR12,
VAR11,
VAR6,
VAR1,
VAR4,
VAR2,
VAR3
);
input clk;
input reset;
input [17: 0] address;
input [ 1: 0] VAR13;
input read;
input write;
input [15: 0] VAR14;
inout [15: 0] VAR15;
output reg [15: 0] VAR10;
output reg VAR12;
output reg [17: 0] VAR11; output reg VAR6; output reg VAR1; output reg VAR4; output reg VAR2; output reg VAR3;
reg VAR5;
reg VAR9;
reg [15: 0] VAR8;
always @(posedge clk)
begin
VAR10 <= VAR15;
VAR12 <= VAR5;
VAR11 <= address;
VAR6 <= ~(VAR13[0] & (read | write));
VAR1 <= ~(VAR13[1] & (read | write));
VAR4 <= ~(read | write);
VAR2 <= ~read;
VAR3 <= ~write;
end
always @(posedge clk)
begin
if (reset)
VAR5 <= 1'b0;
end
else
VAR5 <= read;
end
always @(posedge clk)
begin
if (reset)
VAR9 <= 1'b0;
end
else
VAR9 <= write;
end
always @(posedge clk)
begin
VAR8 <= VAR14;
end
assign VAR15 = (VAR9) ? VAR8 : 16'VAR7;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/a222o/sky130_fd_sc_ms__a222o.pp.blackbox.v
| 1,456 |
module MODULE1 (
VAR1 ,
VAR10 ,
VAR5 ,
VAR7 ,
VAR11 ,
VAR3 ,
VAR4 ,
VAR6,
VAR8,
VAR2 ,
VAR9
);
output VAR1 ;
input VAR10 ;
input VAR5 ;
input VAR7 ;
input VAR11 ;
input VAR3 ;
input VAR4 ;
input VAR6;
input VAR8;
input VAR2 ;
input VAR9 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/clkdlyinv5sd1/sky130_fd_sc_hs__clkdlyinv5sd1.pp.blackbox.v
| 1,291 |
module MODULE1 (
VAR3 ,
VAR1 ,
VAR2,
VAR4
);
output VAR3 ;
input VAR1 ;
input VAR2;
input VAR4;
endmodule
|
apache-2.0
|
mithro/HDMI2USB-litex-firmware
|
gateware/encoder/vhdl/HeaderRAM.v
| 2,196 |
module MODULE1(VAR1, VAR5, VAR7, VAR3, clk, VAR4);
output [7:0] VAR4;
input [7:0] VAR1;
input[9:0] VAR7;
input[9:0] VAR5;
input clk, VAR3;
reg [9:0] VAR2;
reg[7:0] VAR6 [1023:0] ;
|
bsd-2-clause
|
swallat/yosys
|
techlibs/achronix/speedster22i/cells_arith.v
| 2,594 |
module MODULE1(
module 80alteramax10alu (VAR19, VAR22, VAR3, VAR13, VAR7, VAR12, VAR29);
parameter VAR24 = 0;
parameter VAR25 = 0;
parameter VAR36 = 1;
parameter VAR17 = 1;
parameter VAR16 = 1;
input [VAR36-1:0] VAR19;
input [VAR17-1:0] VAR22;
output [VAR16-1:0] VAR7, VAR12;
input VAR3, VAR13;
output VAR29;
wire VAR6 = VAR16 <= 4;
wire [VAR16-1:0] VAR33, VAR11;
\pos #(.VAR24(VAR24), .VAR36(VAR36), .VAR16(VAR16)) VAR27 (.VAR19(VAR19), .VAR12(VAR33));
\pos #(.VAR24(VAR25), .VAR36(VAR17), .VAR16(VAR16)) VAR10 (.VAR19(VAR22), .VAR12(VAR11));
wire [VAR16-1:0] VAR31 = VAR33;
wire [VAR16-1:0] VAR15 = VAR13 ? ~VAR11 : VAR11;
wire [VAR16+1:0] VAR26;
wire [VAR16+1:0] VAR23 = {VAR26, VAR3};
VAR20 #(.VAR30(16'b0000000010101010), .VAR14("VAR4")) VAR28 (.VAR1(VAR26[0]), .VAR5(VAR23[0]), .VAR2(1'b1), .VAR32(1'b1), .VAR35(1'b1));
genvar VAR9;
generate for (VAR9 = 0; VAR9 < VAR16; VAR9 = VAR9 + 1) begin: VAR21
if(VAR9==VAR16-1) begin
VAR20 #(.VAR30(16'b1111000011100000), .VAR14("VAR4")) VAR34 (.VAR8(VAR26[VAR16]), .VAR5(1'b1), .VAR2(1'b1), .VAR32(1'b1), .VAR35(1'b1), .VAR4(VAR23[VAR16]));
assign VAR29 = VAR26[VAR16];
end
else
VAR20 #(.VAR30(16'b1001011011101000), .VAR14("VAR4")) VAR18 (.VAR8(VAR12[VAR9]), .VAR1(VAR26[VAR9+1]), .VAR5(VAR31[VAR9]), .VAR2(VAR15[VAR9]), .VAR32(1'b1), .VAR35(1'b1), .VAR4(VAR23[VAR9+1]));
end: VAR21
endgenerate
assign VAR7 = VAR31 ^ VAR15;
endmodule
|
isc
|
8l/kestrel
|
2/nexys2/computer/T_kestrel2.v
| 1,837 |
module MODULE1;
reg VAR9;
reg VAR20;
reg VAR5;
reg VAR4;
wire VAR15;
wire VAR21;
wire VAR22;
wire VAR17;
wire VAR18;
wire VAR2;
wire VAR14;
wire VAR11;
wire VAR16;
wire VAR10;
wire VAR23;
wire VAR3;
wire VAR8;
wire VAR12;
wire [2:0] VAR1;
wire [2:0] VAR19;
wire [2:1] VAR6;
VAR7 VAR13 (
.VAR15(VAR15),
.VAR21(VAR21),
.VAR22(VAR22),
.VAR17(VAR17),
.VAR18(VAR18),
.VAR2(VAR2),
.VAR14(VAR14),
.VAR11(VAR11),
.VAR16(VAR16),
.VAR10(VAR10),
.VAR23(VAR23),
.VAR3(VAR3),
.VAR8(VAR8),
.VAR12(VAR12),
.VAR1(VAR1),
.VAR19(VAR19),
.VAR6(VAR6),
.VAR9(VAR9),
.VAR20(VAR20),
.VAR5(VAR5),
.VAR4(VAR4)
);
always begin
VAR5 <= ~VAR5;
end
|
apache-2.0
|
CMU-SAFARI/NOCulator
|
hring/hw/buffered/src/c_select_mofn.v
| 2,401 |
module MODULE1
(select, VAR2, VAR7);
parameter VAR5 = 4;
parameter VAR4 = 32;
input [0:VAR5-1] select;
input [0:VAR5*VAR4-1] VAR2;
output [0:VAR4-1] VAR7;
wire [0:VAR4-1] VAR7;
generate
genvar VAR8;
for(VAR8 = 0; VAR8 < VAR4; VAR8 = VAR8 + 1)
begin:VAR6
wire [0:VAR5-1] VAR1;
genvar VAR9;
for(VAR9 = 0; VAR9 < VAR5; VAR9 = VAR9 + 1)
begin:VAR3
assign VAR1[VAR9] = VAR2[VAR8+VAR9*VAR4] & select[VAR9];
end
assign VAR7[VAR8] = |VAR1;
end
endgenerate
endmodule
|
mit
|
alexforencich/verilog-ethernet
|
rtl/ssio_sdr_out_diff.v
| 2,990 |
module MODULE1 #
(
parameter VAR27 = "VAR7",
parameter VAR2 = "VAR8",
parameter VAR18 = 1
)
(
input wire clk,
input wire [VAR18-1:0] VAR10,
output wire VAR29,
output wire VAR9,
output wire [VAR18-1:0] VAR24,
output wire [VAR18-1:0] VAR3
);
wire VAR12;
wire [VAR18-1:0] VAR14;
VAR16 #(
.VAR27(VAR27),
.VAR2(VAR2),
.VAR18(VAR18)
)
VAR13(
.clk(clk),
.VAR10(VAR10),
.VAR12(VAR12),
.VAR14(VAR14)
);
genvar VAR28;
generate
if (VAR27 == "VAR15") begin
VAR22
VAR17 (
.VAR26(VAR12),
.VAR21(VAR29),
.VAR19(VAR9)
);
for (VAR28 = 0; VAR28 < VAR18; VAR28 = VAR28 + 1) begin
VAR22
VAR20 (
.VAR26(VAR14[VAR28]),
.VAR21(VAR24[VAR28]),
.VAR19(VAR3[VAR28])
);
end
end else if (VAR27 == "VAR5") begin
VAR4
VAR1 (
.VAR6(VAR12),
.VAR23(VAR29),
.VAR11(VAR9)
);
for (VAR28 = 0; VAR28 < VAR18; VAR28 = VAR28 + 1) begin
VAR4
VAR25 (
.VAR6(VAR14[VAR28]),
.VAR23(VAR24[VAR28]),
.VAR11(VAR3[VAR28])
);
end
end else begin
assign VAR29 = VAR12;
assign VAR9 = ~VAR12;
assign VAR24 = VAR14;
assign VAR3 = ~VAR14;
end
endgenerate
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/fill_diode/sky130_fd_sc_ls__fill_diode.blackbox.v
| 1,197 |
module MODULE1 ();
supply1 VAR3;
supply0 VAR2;
supply1 VAR4 ;
supply0 VAR1 ;
endmodule
|
apache-2.0
|
asicguy/gplgpu
|
hdl/altera_ddr3_128/ddr3_int_example_top_29.v
| 7,066 |
module MODULE1 (
VAR67,
VAR17,
VAR12,
VAR18,
VAR68,
VAR6,
VAR31,
VAR71,
VAR60,
VAR2,
VAR16,
VAR33,
VAR43,
VAR3,
VAR53,
VAR49,
VAR35,
VAR47,
VAR25,
VAR46,
VAR19
)
;
output [ 12: 0] VAR12;
output [ 2: 0] VAR18;
output VAR68;
output [ 0: 0] VAR6;
inout [ 0: 0] VAR31;
inout [ 0: 0] VAR71;
output [ 0: 0] VAR60;
output [ 3: 0] VAR2;
inout [ 31: 0] VAR16;
inout [ 3: 0] VAR33;
inout [ 3: 0] VAR43;
output [ 0: 0] VAR3;
output VAR53;
output VAR49;
output VAR35;
output VAR47;
output [ 15: 0] VAR25;
output VAR46;
output [ 7: 0] VAR19;
input VAR67;
input VAR17;
wire [ 0: 0] VAR13;
wire VAR27;
wire [ 5: 0] VAR69;
wire VAR51;
wire [ 12: 0] VAR12;
wire VAR50;
wire VAR9;
wire [ 2: 0] VAR18;
wire VAR68;
wire [ 0: 0] VAR6;
wire [ 0: 0] VAR31;
wire [ 0: 0] VAR71;
wire [ 0: 0] VAR60;
wire [ 3: 0] VAR2;
wire [ 31: 0] VAR16;
wire [ 3: 0] VAR33;
wire [ 3: 0] VAR43;
wire [ 23: 0] VAR55;
wire [ 15: 0] VAR40;
wire [ 9: 0] VAR65;
wire VAR7;
wire [127: 0] VAR38;
wire VAR45;
wire VAR24;
wire VAR62;
wire [ 5: 0] VAR48;
wire [127: 0] VAR64;
wire VAR28;
wire [ 0: 0] VAR3;
wire VAR53;
wire VAR49;
wire VAR35;
wire VAR15;
wire VAR47;
wire [ 15: 0] VAR25;
wire VAR22;
wire VAR46;
wire [ 7: 0] VAR19;
wire VAR8;
wire VAR14;
assign VAR60 = VAR13;
assign VAR8 = 1'b1;
assign VAR14 = 1'b0;
VAR37 VAR66
(
.VAR57 (VAR50),
.VAR63 (VAR9),
.VAR70 (VAR27),
.VAR34 (VAR69),
.VAR17 (VAR17),
.VAR20 (VAR55),
.VAR21 (VAR40),
.VAR30 (VAR51),
.VAR4 (),
.VAR11 (VAR38),
.VAR58 (VAR45),
.VAR26 (VAR24),
.VAR39 (VAR62),
.VAR44 (),
.VAR36 (VAR48),
.VAR59 (VAR64),
.VAR32 (VAR28),
.VAR12 (VAR12[12 : 0]),
.VAR18 (VAR18),
.VAR68 (VAR68),
.VAR6 (VAR6),
.VAR31 (VAR31),
.VAR71 (VAR71),
.VAR60 (VAR13),
.VAR2 (VAR2[3 : 0]),
.VAR16 (VAR16),
.VAR33 (VAR33[3 : 0]),
.VAR43 (VAR43[3 : 0]),
.VAR3 (VAR3),
.VAR53 (VAR53),
.VAR49 (VAR49),
.VAR35 (VAR35),
.VAR15 (VAR15),
.VAR52 (VAR67),
.VAR22 (VAR22),
.VAR23 (),
.VAR54 (VAR8)
);
assign VAR55[7 : 0] = VAR65[9 : 2];
VAR5 VAR42
(
.clk (VAR15),
.VAR1 (VAR55[23 : 21]),
.VAR21 (VAR40),
.VAR30 (VAR51),
.VAR61 (VAR65),
.VAR10 (VAR7),
.VAR11 (VAR38),
.VAR58 (VAR45),
.VAR26 (VAR24),
.VAR39 (VAR62),
.VAR29 (VAR55[20 : 8]),
.VAR36 (VAR48),
.VAR59 (VAR64),
.VAR32 (VAR28),
.VAR25 (VAR25[15 : 0]),
.VAR41 (VAR47),
.VAR56 (VAR22),
.VAR46 (VAR46),
.VAR19 (VAR19)
);
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/dlxtn/sky130_fd_sc_lp__dlxtn.symbol.v
| 1,341 |
module MODULE1 (
input VAR3 ,
output VAR1 ,
input VAR6
);
supply1 VAR4;
supply0 VAR7;
supply1 VAR2 ;
supply0 VAR5 ;
endmodule
|
apache-2.0
|
YingcaiDong/Shunting-Model-Based-Path-Planning-Algorithm-Accelerator-Using-FPGA
|
System Design Source FIle/ipshared/xilinx.com/HLS_accel_v1_0/dbdcd11c/hdl/verilog/HLS_accel_fcmp_32ns_32ns_1_1.v
| 2,474 |
module MODULE1
VAR40 = 9,
VAR26 = 1,
VAR17 = 32,
VAR8 = 32,
VAR20 = 1
)(
input wire [VAR17-1:0] VAR33,
input wire [VAR8-1:0] VAR19,
input wire [4:0] VAR29,
output wire [VAR20-1:0] dout
);
localparam [4:0]
VAR22 = 5'b00001,
VAR10 = 5'b00010,
VAR30 = 5'b00011,
VAR21 = 5'b00100,
VAR25 = 5'b00101,
VAR38 = 5'b00110,
VAR12 = 5'b01000;
localparam [7:0]
VAR37 = 8'b00010100,
VAR34 = 8'b00100100,
VAR1 = 8'b00110100,
VAR41 = 8'b00001100,
VAR28 = 8'b00011100,
VAR39 = 8'b00101100,
VAR11 = 8'b00000100;
wire VAR6;
wire [31:0] VAR31;
wire VAR7;
wire [31:0] VAR9;
wire VAR15;
reg [7:0] VAR13;
wire VAR27;
wire [7:0] VAR35;
VAR18 VAR4 (
.VAR32 ( VAR6 ),
.VAR36 ( VAR31 ),
.VAR24 ( VAR7 ),
.VAR14 ( VAR9 ),
.VAR5 ( VAR15 ),
.VAR23 ( VAR13 ),
.VAR16 ( VAR27 ),
.VAR2 ( VAR35 )
);
assign VAR6 = 1'b1;
assign VAR31 = VAR33==='VAR3 ? 'b0 : VAR33;
assign VAR7 = 1'b1;
assign VAR9 = VAR19==='VAR3 ? 'b0 : VAR19;
assign VAR15 = 1'b1;
assign dout = VAR35[0];
always @(*) begin
case (VAR29)
VAR22 : VAR13 = VAR37;
VAR10 : VAR13 = VAR34;
VAR30 : VAR13 = VAR1;
VAR21 : VAR13 = VAR41;
VAR25 : VAR13 = VAR28;
VAR38 : VAR13 = VAR39;
VAR12 : VAR13 = VAR11;
default : VAR13 = VAR37;
endcase
end
endmodule
|
mit
|
MarkBlanco/FPGA_Sandbox
|
RecComp/Lab1/my_lab_1/my_lab_1.cache/ip/2017.2/6d4b02c9ba6a5d75/zqynq_lab_1_design_axi_gpio_1_0_stub.v
| 2,345 |
module MODULE1(VAR4, VAR3, VAR15,
VAR7, VAR14, VAR18, VAR12, VAR13, VAR16,
VAR20, VAR5, VAR8, VAR11, VAR9, VAR10,
VAR2, VAR6, VAR17, VAR19, VAR1)
;
input VAR4;
input VAR3;
input [8:0]VAR15;
input VAR7;
output VAR14;
input [31:0]VAR18;
input [3:0]VAR12;
input VAR13;
output VAR16;
output [1:0]VAR20;
output VAR5;
input VAR8;
input [8:0]VAR11;
input VAR9;
output VAR10;
output [31:0]VAR2;
output [1:0]VAR6;
output VAR17;
input VAR19;
input [4:0]VAR1;
endmodule
|
mit
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
|
cells/clkbuf/gf180mcu_fd_sc_mcu7t5v0__clkbuf_8.behavioral.pp.v
| 1,174 |
module MODULE1( VAR7, VAR4, VAR6, VAR1 );
input VAR7;
inout VAR6, VAR1;
output VAR4;
VAR5 VAR2(.VAR7(VAR7),.VAR4(VAR4),.VAR6(VAR6),.VAR1(VAR1));
VAR5 VAR3(.VAR7(VAR7),.VAR4(VAR4),.VAR6(VAR6),.VAR1(VAR1));
|
apache-2.0
|
hakehuang/pycpld
|
ips/ip/pwm_out/pwm_out.v
| 1,174 |
module MODULE1(VAR5, clk, enable, VAR7, VAR6, VAR3, VAR1);
input VAR5;
input clk;
input enable;
input[31:0] VAR3;
input[31:0] VAR1;
output VAR7;
output VAR6;
reg VAR4;
reg [31:0] VAR2;
always @(posedge clk or negedge VAR5) begin
if (!VAR5) begin
VAR2 <= 0;
end
else if (enable)
begin
if (VAR2 < VAR3) begin
VAR2 <= VAR2 + 1;
end
else begin
VAR2 <= 0;
end
end
end
always @(posedge clk or negedge VAR5) begin
if (!VAR5) begin
VAR4 <= 1'h0;
end
else if (enable)
begin
if (VAR2 < VAR1) begin
VAR4 <= 1'h1;
end
else begin
VAR4 <= 1'h0;
end
end
end
assign VAR7 = VAR4;
assign VAR6 = ~VAR4;
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
models/udp_mux_4to2/sky130_fd_sc_hs__udp_mux_4to2.symbol.v
| 1,327 |
module MODULE1 (
input VAR7,
input VAR1,
input VAR5,
input VAR2,
output VAR4 ,
input VAR6,
input VAR3
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/sdfrtp/sky130_fd_sc_hdll__sdfrtp_4.v
| 2,599 |
module MODULE1 (
VAR6 ,
VAR10 ,
VAR1 ,
VAR11 ,
VAR7 ,
VAR4,
VAR9 ,
VAR5 ,
VAR12 ,
VAR8
);
output VAR6 ;
input VAR10 ;
input VAR1 ;
input VAR11 ;
input VAR7 ;
input VAR4;
input VAR9 ;
input VAR5 ;
input VAR12 ;
input VAR8 ;
VAR3 VAR2 (
.VAR6(VAR6),
.VAR10(VAR10),
.VAR1(VAR1),
.VAR11(VAR11),
.VAR7(VAR7),
.VAR4(VAR4),
.VAR9(VAR9),
.VAR5(VAR5),
.VAR12(VAR12),
.VAR8(VAR8)
);
endmodule
module MODULE1 (
VAR6 ,
VAR10 ,
VAR1 ,
VAR11 ,
VAR7 ,
VAR4
);
output VAR6 ;
input VAR10 ;
input VAR1 ;
input VAR11 ;
input VAR7 ;
input VAR4;
supply1 VAR9;
supply0 VAR5;
supply1 VAR12 ;
supply0 VAR8 ;
VAR3 VAR2 (
.VAR6(VAR6),
.VAR10(VAR10),
.VAR1(VAR1),
.VAR11(VAR11),
.VAR7(VAR7),
.VAR4(VAR4)
);
endmodule
|
apache-2.0
|
SymbiFlow/fpga-tool-perf
|
third_party/daisho-usb3/usb3_crc.v
| 27,360 |
module MODULE4(
input wire [10:0] VAR1,
output wire [4:0] VAR5
);
wire [10:0] VAR7 = { VAR1[0], VAR1[1], VAR1[2], VAR1[3], VAR1[4],
VAR1[5], VAR1[6], VAR1[7], VAR1[8], VAR1[9],
VAR1[10] };
wire [4:0] VAR6 = 5'h1F;
wire [4:0] VAR4 = { ^VAR7[10:9] ^ ^VAR7[6:5] ^ VAR7[3] ^ VAR7[0] ^ VAR6[0] ^ ^VAR6[4:3],
VAR7[10] ^ ^VAR7[7:6] ^ VAR7[4] ^ VAR7[1] ^ ^VAR6[1:0] ^ VAR6[4],
^VAR7[10:7] ^ VAR7[6] ^ ^VAR7[3:2] ^ VAR7[0] ^ ^VAR6[4:0],
^VAR7[10:7] ^^ VAR7[4:3] ^ VAR7[1] ^ ^VAR6[4:1],
^VAR7[10:8] ^ ^VAR7[5:4] ^ VAR7[2] ^ ^VAR6[4:2] };
assign VAR5 = ~VAR4;
endmodule
module MODULE2(
input wire [31:0] VAR1,
input wire VAR2,
output wire [15:0] VAR5,
input wire rst,
input wire clk
);
reg [15:0] VAR4;
wire [15:0] VAR6;
wire [31:0] VAR7 = { VAR1[0 ],VAR1[1 ],VAR1[2 ],VAR1[3 ],VAR1[4 ],VAR1[5 ],VAR1[6 ],VAR1[7],
VAR1[8 ],VAR1[9 ],VAR1[10],VAR1[11],VAR1[12],VAR1[13],VAR1[14],VAR1[15],
VAR1[16],VAR1[17],VAR1[18],VAR1[19],VAR1[20],VAR1[21],VAR1[22],VAR1[23],
VAR1[24],VAR1[25],VAR1[26],VAR1[27],VAR1[28],VAR1[29],VAR1[30],VAR1[31]};
assign VAR5 = ~{ VAR4[0], VAR4[1], VAR4[2], VAR4[3], VAR4[4], VAR4[5], VAR4[6], VAR4[7],
VAR4[8], VAR4[9], VAR4[10],VAR4[11],VAR4[12],VAR4[13],VAR4[14],VAR4[15]};
assign VAR6[0] = VAR4[4] ^ VAR4[5] ^ VAR4[7] ^ VAR4[10] ^ VAR4[12] ^ VAR4[13] ^ VAR4[15] ^ VAR7[0] ^ VAR7[4] ^ VAR7[8] ^ VAR7[12] ^ VAR7[13] ^ VAR7[15] ^ VAR7[20] ^ VAR7[21] ^ VAR7[23] ^ VAR7[26] ^ VAR7[28] ^ VAR7[29] ^ VAR7[31];
assign VAR6[1] = VAR4[0] ^ VAR4[4] ^ VAR4[6] ^ VAR4[7] ^ VAR4[8] ^ VAR4[10] ^ VAR4[11] ^ VAR4[12] ^ VAR4[14] ^ VAR4[15] ^ VAR7[0] ^ VAR7[1] ^ VAR7[4] ^ VAR7[5] ^ VAR7[8] ^ VAR7[9] ^ VAR7[12] ^ VAR7[14] ^ VAR7[15] ^ VAR7[16] ^ VAR7[20] ^ VAR7[22] ^ VAR7[23] ^ VAR7[24] ^ VAR7[26] ^ VAR7[27] ^ VAR7[28] ^ VAR7[30] ^ VAR7[31];
assign VAR6[2] = VAR4[0] ^ VAR4[1] ^ VAR4[5] ^ VAR4[7] ^ VAR4[8] ^ VAR4[9] ^ VAR4[11] ^ VAR4[12] ^ VAR4[13] ^ VAR4[15] ^ VAR7[1] ^ VAR7[2] ^ VAR7[5] ^ VAR7[6] ^ VAR7[9] ^ VAR7[10] ^ VAR7[13] ^ VAR7[15] ^ VAR7[16] ^ VAR7[17] ^ VAR7[21] ^ VAR7[23] ^ VAR7[24] ^ VAR7[25] ^ VAR7[27] ^ VAR7[28] ^ VAR7[29] ^ VAR7[31];
assign VAR6[3] = VAR4[0] ^ VAR4[1] ^ VAR4[2] ^ VAR4[4] ^ VAR4[5] ^ VAR4[6] ^ VAR4[7] ^ VAR4[8] ^ VAR4[9] ^ VAR4[14] ^ VAR4[15] ^ VAR7[0] ^ VAR7[2] ^ VAR7[3] ^ VAR7[4] ^ VAR7[6] ^ VAR7[7] ^ VAR7[8] ^ VAR7[10] ^ VAR7[11] ^ VAR7[12] ^ VAR7[13] ^ VAR7[14] ^ VAR7[15] ^ VAR7[16] ^ VAR7[17] ^ VAR7[18] ^ VAR7[20] ^ VAR7[21] ^ VAR7[22] ^ VAR7[23] ^ VAR7[24] ^ VAR7[25] ^ VAR7[30] ^ VAR7[31];
assign VAR6[4] = VAR4[0] ^ VAR4[1] ^ VAR4[2] ^ VAR4[3] ^ VAR4[5] ^ VAR4[6] ^ VAR4[7] ^ VAR4[8] ^ VAR4[9] ^ VAR4[10] ^ VAR4[15] ^ VAR7[1] ^ VAR7[3] ^ VAR7[4] ^ VAR7[5] ^ VAR7[7] ^ VAR7[8] ^ VAR7[9] ^ VAR7[11] ^ VAR7[12] ^ VAR7[13] ^ VAR7[14] ^ VAR7[15] ^ VAR7[16] ^ VAR7[17] ^ VAR7[18] ^ VAR7[19] ^ VAR7[21] ^ VAR7[22] ^ VAR7[23] ^ VAR7[24] ^ VAR7[25] ^ VAR7[26] ^ VAR7[31];
assign VAR6[5] = VAR4[0] ^ VAR4[1] ^ VAR4[2] ^ VAR4[3] ^ VAR4[4] ^ VAR4[6] ^ VAR4[7] ^ VAR4[8] ^ VAR4[9] ^ VAR4[10] ^ VAR4[11] ^ VAR7[2] ^ VAR7[4] ^ VAR7[5] ^ VAR7[6] ^ VAR7[8] ^ VAR7[9] ^ VAR7[10] ^ VAR7[12] ^ VAR7[13] ^ VAR7[14] ^ VAR7[15] ^ VAR7[16] ^ VAR7[17] ^ VAR7[18] ^ VAR7[19] ^ VAR7[20] ^ VAR7[22] ^ VAR7[23] ^ VAR7[24] ^ VAR7[25] ^ VAR7[26] ^ VAR7[27];
assign VAR6[6] = VAR4[0] ^ VAR4[1] ^ VAR4[2] ^ VAR4[3] ^ VAR4[4] ^ VAR4[5] ^ VAR4[7] ^ VAR4[8] ^ VAR4[9] ^ VAR4[10] ^ VAR4[11] ^ VAR4[12] ^ VAR7[3] ^ VAR7[5] ^ VAR7[6] ^ VAR7[7] ^ VAR7[9] ^ VAR7[10] ^ VAR7[11] ^ VAR7[13] ^ VAR7[14] ^ VAR7[15] ^ VAR7[16] ^ VAR7[17] ^ VAR7[18] ^ VAR7[19] ^ VAR7[20] ^ VAR7[21] ^ VAR7[23] ^ VAR7[24] ^ VAR7[25] ^ VAR7[26] ^ VAR7[27] ^ VAR7[28];
assign VAR6[7] = VAR4[0] ^ VAR4[1] ^ VAR4[2] ^ VAR4[3] ^ VAR4[4] ^ VAR4[5] ^ VAR4[6] ^ VAR4[8] ^ VAR4[9] ^ VAR4[10] ^ VAR4[11] ^ VAR4[12] ^ VAR4[13] ^ VAR7[4] ^ VAR7[6] ^ VAR7[7] ^ VAR7[8] ^ VAR7[10] ^ VAR7[11] ^ VAR7[12] ^ VAR7[14] ^ VAR7[15] ^ VAR7[16] ^ VAR7[17] ^ VAR7[18] ^ VAR7[19] ^ VAR7[20] ^ VAR7[21] ^ VAR7[22] ^ VAR7[24] ^ VAR7[25] ^ VAR7[26] ^ VAR7[27] ^ VAR7[28] ^ VAR7[29];
assign VAR6[8] = VAR4[0] ^ VAR4[1] ^ VAR4[2] ^ VAR4[3] ^ VAR4[4] ^ VAR4[5] ^ VAR4[6] ^ VAR4[7] ^ VAR4[9] ^ VAR4[10] ^ VAR4[11] ^ VAR4[12] ^ VAR4[13] ^ VAR4[14] ^ VAR7[5] ^ VAR7[7] ^ VAR7[8] ^ VAR7[9] ^ VAR7[11] ^ VAR7[12] ^ VAR7[13] ^ VAR7[15] ^ VAR7[16] ^ VAR7[17] ^ VAR7[18] ^ VAR7[19] ^ VAR7[20] ^ VAR7[21] ^ VAR7[22] ^ VAR7[23] ^ VAR7[25] ^ VAR7[26] ^ VAR7[27] ^ VAR7[28] ^ VAR7[29] ^ VAR7[30];
assign VAR6[9] = VAR4[0] ^ VAR4[1] ^ VAR4[2] ^ VAR4[3] ^ VAR4[4] ^ VAR4[5] ^ VAR4[6] ^ VAR4[7] ^ VAR4[8] ^ VAR4[10] ^ VAR4[11] ^ VAR4[12] ^ VAR4[13] ^ VAR4[14] ^ VAR4[15] ^ VAR7[6] ^ VAR7[8] ^ VAR7[9] ^ VAR7[10] ^ VAR7[12] ^ VAR7[13] ^ VAR7[14] ^ VAR7[16] ^ VAR7[17] ^ VAR7[18] ^ VAR7[19] ^ VAR7[20] ^ VAR7[21] ^ VAR7[22] ^ VAR7[23] ^ VAR7[24] ^ VAR7[26] ^ VAR7[27] ^ VAR7[28] ^ VAR7[29] ^ VAR7[30] ^ VAR7[31];
assign VAR6[10] = VAR4[1] ^ VAR4[2] ^ VAR4[3] ^ VAR4[4] ^ VAR4[5] ^ VAR4[6] ^ VAR4[7] ^ VAR4[8] ^ VAR4[9] ^ VAR4[11] ^ VAR4[12] ^ VAR4[13] ^ VAR4[14] ^ VAR4[15] ^ VAR7[7] ^ VAR7[9] ^ VAR7[10] ^ VAR7[11] ^ VAR7[13] ^ VAR7[14] ^ VAR7[15] ^ VAR7[17] ^ VAR7[18] ^ VAR7[19] ^ VAR7[20] ^ VAR7[21] ^ VAR7[22] ^ VAR7[23] ^ VAR7[24] ^ VAR7[25] ^ VAR7[27] ^ VAR7[28] ^ VAR7[29] ^ VAR7[30] ^ VAR7[31];
assign VAR6[11] = VAR4[0] ^ VAR4[2] ^ VAR4[3] ^ VAR4[4] ^ VAR4[5] ^ VAR4[6] ^ VAR4[7] ^ VAR4[8] ^ VAR4[9] ^ VAR4[10] ^ VAR4[12] ^ VAR4[13] ^ VAR4[14] ^ VAR4[15] ^ VAR7[8] ^ VAR7[10] ^ VAR7[11] ^ VAR7[12] ^ VAR7[14] ^ VAR7[15] ^ VAR7[16] ^ VAR7[18] ^ VAR7[19] ^ VAR7[20] ^ VAR7[21] ^ VAR7[22] ^ VAR7[23] ^ VAR7[24] ^ VAR7[25] ^ VAR7[26] ^ VAR7[28] ^ VAR7[29] ^ VAR7[30] ^ VAR7[31];
assign VAR6[12] = VAR4[0] ^ VAR4[1] ^ VAR4[3] ^ VAR4[6] ^ VAR4[8] ^ VAR4[9] ^ VAR4[11] ^ VAR4[12] ^ VAR4[14] ^ VAR7[0] ^ VAR7[4] ^ VAR7[8] ^ VAR7[9] ^ VAR7[11] ^ VAR7[16] ^ VAR7[17] ^ VAR7[19] ^ VAR7[22] ^ VAR7[24] ^ VAR7[25] ^ VAR7[27] ^ VAR7[28] ^ VAR7[30];
assign VAR6[13] = VAR4[1] ^ VAR4[2] ^ VAR4[4] ^ VAR4[7] ^ VAR4[9] ^ VAR4[10] ^ VAR4[12] ^ VAR4[13] ^ VAR4[15] ^ VAR7[1] ^ VAR7[5] ^ VAR7[9] ^ VAR7[10] ^ VAR7[12] ^ VAR7[17] ^ VAR7[18] ^ VAR7[20] ^ VAR7[23] ^ VAR7[25] ^ VAR7[26] ^ VAR7[28] ^ VAR7[29] ^ VAR7[31];
assign VAR6[14] = VAR4[2] ^ VAR4[3] ^ VAR4[5] ^ VAR4[8] ^ VAR4[10] ^ VAR4[11] ^ VAR4[13] ^ VAR4[14] ^ VAR7[2] ^ VAR7[6] ^ VAR7[10] ^ VAR7[11] ^ VAR7[13] ^ VAR7[18] ^ VAR7[19] ^ VAR7[21] ^ VAR7[24] ^ VAR7[26] ^ VAR7[27] ^ VAR7[29] ^ VAR7[30];
assign VAR6[15] = VAR4[3] ^ VAR4[4] ^ VAR4[6] ^ VAR4[9] ^ VAR4[11] ^ VAR4[12] ^ VAR4[14] ^ VAR4[15] ^ VAR7[3] ^ VAR7[7] ^ VAR7[11] ^ VAR7[12] ^ VAR7[14] ^ VAR7[19] ^ VAR7[20] ^ VAR7[22] ^ VAR7[25] ^ VAR7[27] ^ VAR7[28] ^ VAR7[30] ^ VAR7[31];
always @(posedge clk, posedge rst) begin
if(rst) begin
VAR4 <= {16{1'b1}};
end
else begin
VAR4 <= VAR2 ? VAR6 : VAR4;
end
end
endmodule
module MODULE1(
input wire [31:0] VAR1,
output wire [31:0] VAR3,
input wire VAR2,
output wire [31:0] VAR5,
input wire rst,
input wire clk
);
reg [31:0] VAR4;
wire [31:0] VAR6;
wire [31:0] VAR7 = { VAR1[0 ],VAR1[1 ],VAR1[2 ],VAR1[3 ],VAR1[4 ],VAR1[5 ],VAR1[6 ],VAR1[7],
VAR1[8 ],VAR1[9 ],VAR1[10],VAR1[11],VAR1[12],VAR1[13],VAR1[14],VAR1[15],
VAR1[16],VAR1[17],VAR1[18],VAR1[19],VAR1[20],VAR1[21],VAR1[22],VAR1[23],
VAR1[24],VAR1[25],VAR1[26],VAR1[27],VAR1[28],VAR1[29],VAR1[30],VAR1[31]};
assign VAR3 = VAR4;
assign VAR5 = ~{ VAR4[0], VAR4[1], VAR4[2], VAR4[3], VAR4[4], VAR4[5], VAR4[6], VAR4[7],
VAR4[8], VAR4[9], VAR4[10], VAR4[11], VAR4[12], VAR4[13], VAR4[14], VAR4[15],
VAR4[16], VAR4[17], VAR4[18], VAR4[19], VAR4[20], VAR4[21], VAR4[22], VAR4[23],
VAR4[24], VAR4[25], VAR4[26], VAR4[27], VAR4[28], VAR4[29], VAR4[30], VAR4[31]};
assign VAR6[0] = VAR4[0] ^ VAR4[6] ^ VAR4[9] ^ VAR4[10] ^ VAR4[12] ^ VAR4[16] ^ VAR4[24] ^ VAR4[25] ^ VAR4[26] ^ VAR4[28] ^ VAR4[29] ^ VAR4[30] ^ VAR4[31] ^ VAR7[0] ^ VAR7[6] ^ VAR7[9] ^ VAR7[10] ^ VAR7[12] ^ VAR7[16] ^ VAR7[24] ^ VAR7[25] ^ VAR7[26] ^ VAR7[28] ^ VAR7[29] ^ VAR7[30] ^ VAR7[31];
assign VAR6[1] = VAR4[0] ^ VAR4[1] ^ VAR4[6] ^ VAR4[7] ^ VAR4[9] ^ VAR4[11] ^ VAR4[12] ^ VAR4[13] ^ VAR4[16] ^ VAR4[17] ^ VAR4[24] ^ VAR4[27] ^ VAR4[28] ^ VAR7[0] ^ VAR7[1] ^ VAR7[6] ^ VAR7[7] ^ VAR7[9] ^ VAR7[11] ^ VAR7[12] ^ VAR7[13] ^ VAR7[16] ^ VAR7[17] ^ VAR7[24] ^ VAR7[27] ^ VAR7[28];
assign VAR6[2] = VAR4[0] ^ VAR4[1] ^ VAR4[2] ^ VAR4[6] ^ VAR4[7] ^ VAR4[8] ^ VAR4[9] ^ VAR4[13] ^ VAR4[14] ^ VAR4[16] ^ VAR4[17] ^ VAR4[18] ^ VAR4[24] ^ VAR4[26] ^ VAR4[30] ^ VAR4[31] ^ VAR7[0] ^ VAR7[1] ^ VAR7[2] ^ VAR7[6] ^ VAR7[7] ^ VAR7[8] ^ VAR7[9] ^ VAR7[13] ^ VAR7[14] ^ VAR7[16] ^ VAR7[17] ^ VAR7[18] ^ VAR7[24] ^ VAR7[26] ^ VAR7[30] ^ VAR7[31];
assign VAR6[3] = VAR4[1] ^ VAR4[2] ^ VAR4[3] ^ VAR4[7] ^ VAR4[8] ^ VAR4[9] ^ VAR4[10] ^ VAR4[14] ^ VAR4[15] ^ VAR4[17] ^ VAR4[18] ^ VAR4[19] ^ VAR4[25] ^ VAR4[27] ^ VAR4[31] ^ VAR7[1] ^ VAR7[2] ^ VAR7[3] ^ VAR7[7] ^ VAR7[8] ^ VAR7[9] ^ VAR7[10] ^ VAR7[14] ^ VAR7[15] ^ VAR7[17] ^ VAR7[18] ^ VAR7[19] ^ VAR7[25] ^ VAR7[27] ^ VAR7[31];
assign VAR6[4] = VAR4[0] ^ VAR4[2] ^ VAR4[3] ^ VAR4[4] ^ VAR4[6] ^ VAR4[8] ^ VAR4[11] ^ VAR4[12] ^ VAR4[15] ^ VAR4[18] ^ VAR4[19] ^ VAR4[20] ^ VAR4[24] ^ VAR4[25] ^ VAR4[29] ^ VAR4[30] ^ VAR4[31] ^ VAR7[0] ^ VAR7[2] ^ VAR7[3] ^ VAR7[4] ^ VAR7[6] ^ VAR7[8] ^ VAR7[11] ^ VAR7[12] ^ VAR7[15] ^ VAR7[18] ^ VAR7[19] ^ VAR7[20] ^ VAR7[24] ^ VAR7[25] ^ VAR7[29] ^ VAR7[30] ^ VAR7[31];
assign VAR6[5] = VAR4[0] ^ VAR4[1] ^ VAR4[3] ^ VAR4[4] ^ VAR4[5] ^ VAR4[6] ^ VAR4[7] ^ VAR4[10] ^ VAR4[13] ^ VAR4[19] ^ VAR4[20] ^ VAR4[21] ^ VAR4[24] ^ VAR4[28] ^ VAR4[29] ^ VAR7[0] ^ VAR7[1] ^ VAR7[3] ^ VAR7[4] ^ VAR7[5] ^ VAR7[6] ^ VAR7[7] ^ VAR7[10] ^ VAR7[13] ^ VAR7[19] ^ VAR7[20] ^ VAR7[21] ^ VAR7[24] ^ VAR7[28] ^ VAR7[29];
assign VAR6[6] = VAR4[1] ^ VAR4[2] ^ VAR4[4] ^ VAR4[5] ^ VAR4[6] ^ VAR4[7] ^ VAR4[8] ^ VAR4[11] ^ VAR4[14] ^ VAR4[20] ^ VAR4[21] ^ VAR4[22] ^ VAR4[25] ^ VAR4[29] ^ VAR4[30] ^ VAR7[1] ^ VAR7[2] ^ VAR7[4] ^ VAR7[5] ^ VAR7[6] ^ VAR7[7] ^ VAR7[8] ^ VAR7[11] ^ VAR7[14] ^ VAR7[20] ^ VAR7[21] ^ VAR7[22] ^ VAR7[25] ^ VAR7[29] ^ VAR7[30];
assign VAR6[7] = VAR4[0] ^ VAR4[2] ^ VAR4[3] ^ VAR4[5] ^ VAR4[7] ^ VAR4[8] ^ VAR4[10] ^ VAR4[15] ^ VAR4[16] ^ VAR4[21] ^ VAR4[22] ^ VAR4[23] ^ VAR4[24] ^ VAR4[25] ^ VAR4[28] ^ VAR4[29] ^ VAR7[0] ^ VAR7[2] ^ VAR7[3] ^ VAR7[5] ^ VAR7[7] ^ VAR7[8] ^ VAR7[10] ^ VAR7[15] ^ VAR7[16] ^ VAR7[21] ^ VAR7[22] ^ VAR7[23] ^ VAR7[24] ^ VAR7[25] ^ VAR7[28] ^ VAR7[29];
assign VAR6[8] = VAR4[0] ^ VAR4[1] ^ VAR4[3] ^ VAR4[4] ^ VAR4[8] ^ VAR4[10] ^ VAR4[11] ^ VAR4[12] ^ VAR4[17] ^ VAR4[22] ^ VAR4[23] ^ VAR4[28] ^ VAR4[31] ^ VAR7[0] ^ VAR7[1] ^ VAR7[3] ^ VAR7[4] ^ VAR7[8] ^ VAR7[10] ^ VAR7[11] ^ VAR7[12] ^ VAR7[17] ^ VAR7[22] ^ VAR7[23] ^ VAR7[28] ^ VAR7[31];
assign VAR6[9] = VAR4[1] ^ VAR4[2] ^ VAR4[4] ^ VAR4[5] ^ VAR4[9] ^ VAR4[11] ^ VAR4[12] ^ VAR4[13] ^ VAR4[18] ^ VAR4[23] ^ VAR4[24] ^ VAR4[29] ^ VAR7[1] ^ VAR7[2] ^ VAR7[4] ^ VAR7[5] ^ VAR7[9] ^ VAR7[11] ^ VAR7[12] ^ VAR7[13] ^ VAR7[18] ^ VAR7[23] ^ VAR7[24] ^ VAR7[29];
assign VAR6[10] = VAR4[0] ^ VAR4[2] ^ VAR4[3] ^ VAR4[5] ^ VAR4[9] ^ VAR4[13] ^ VAR4[14] ^ VAR4[16] ^ VAR4[19] ^ VAR4[26] ^ VAR4[28] ^ VAR4[29] ^ VAR4[31] ^ VAR7[0] ^ VAR7[2] ^ VAR7[3] ^ VAR7[5] ^ VAR7[9] ^ VAR7[13] ^ VAR7[14] ^ VAR7[16] ^ VAR7[19] ^ VAR7[26] ^ VAR7[28] ^ VAR7[29] ^ VAR7[31];
assign VAR6[11] = VAR4[0] ^ VAR4[1] ^ VAR4[3] ^ VAR4[4] ^ VAR4[9] ^ VAR4[12] ^ VAR4[14] ^ VAR4[15] ^ VAR4[16] ^ VAR4[17] ^ VAR4[20] ^ VAR4[24] ^ VAR4[25] ^ VAR4[26] ^ VAR4[27] ^ VAR4[28] ^ VAR4[31] ^ VAR7[0] ^ VAR7[1] ^ VAR7[3] ^ VAR7[4] ^ VAR7[9] ^ VAR7[12] ^ VAR7[14] ^ VAR7[15] ^ VAR7[16] ^ VAR7[17] ^ VAR7[20] ^ VAR7[24] ^ VAR7[25] ^ VAR7[26] ^ VAR7[27] ^ VAR7[28] ^ VAR7[31];
assign VAR6[12] = VAR4[0] ^ VAR4[1] ^ VAR4[2] ^ VAR4[4] ^ VAR4[5] ^ VAR4[6] ^ VAR4[9] ^ VAR4[12] ^ VAR4[13] ^ VAR4[15] ^ VAR4[17] ^ VAR4[18] ^ VAR4[21] ^ VAR4[24] ^ VAR4[27] ^ VAR4[30] ^ VAR4[31] ^ VAR7[0] ^ VAR7[1] ^ VAR7[2] ^ VAR7[4] ^ VAR7[5] ^ VAR7[6] ^ VAR7[9] ^ VAR7[12] ^ VAR7[13] ^ VAR7[15] ^ VAR7[17] ^ VAR7[18] ^ VAR7[21] ^ VAR7[24] ^ VAR7[27] ^ VAR7[30] ^ VAR7[31];
assign VAR6[13] = VAR4[1] ^ VAR4[2] ^ VAR4[3] ^ VAR4[5] ^ VAR4[6] ^ VAR4[7] ^ VAR4[10] ^ VAR4[13] ^ VAR4[14] ^ VAR4[16] ^ VAR4[18] ^ VAR4[19] ^ VAR4[22] ^ VAR4[25] ^ VAR4[28] ^ VAR4[31] ^ VAR7[1] ^ VAR7[2] ^ VAR7[3] ^ VAR7[5] ^ VAR7[6] ^ VAR7[7] ^ VAR7[10] ^ VAR7[13] ^ VAR7[14] ^ VAR7[16] ^ VAR7[18] ^ VAR7[19] ^ VAR7[22] ^ VAR7[25] ^ VAR7[28] ^ VAR7[31];
assign VAR6[14] = VAR4[2] ^ VAR4[3] ^ VAR4[4] ^ VAR4[6] ^ VAR4[7] ^ VAR4[8] ^ VAR4[11] ^ VAR4[14] ^ VAR4[15] ^ VAR4[17] ^ VAR4[19] ^ VAR4[20] ^ VAR4[23] ^ VAR4[26] ^ VAR4[29] ^ VAR7[2] ^ VAR7[3] ^ VAR7[4] ^ VAR7[6] ^ VAR7[7] ^ VAR7[8] ^ VAR7[11] ^ VAR7[14] ^ VAR7[15] ^ VAR7[17] ^ VAR7[19] ^ VAR7[20] ^ VAR7[23] ^ VAR7[26] ^ VAR7[29];
assign VAR6[15] = VAR4[3] ^ VAR4[4] ^ VAR4[5] ^ VAR4[7] ^ VAR4[8] ^ VAR4[9] ^ VAR4[12] ^ VAR4[15] ^ VAR4[16] ^ VAR4[18] ^ VAR4[20] ^ VAR4[21] ^ VAR4[24] ^ VAR4[27] ^ VAR4[30] ^ VAR7[3] ^ VAR7[4] ^ VAR7[5] ^ VAR7[7] ^ VAR7[8] ^ VAR7[9] ^ VAR7[12] ^ VAR7[15] ^ VAR7[16] ^ VAR7[18] ^ VAR7[20] ^ VAR7[21] ^ VAR7[24] ^ VAR7[27] ^ VAR7[30];
assign VAR6[16] = VAR4[0] ^ VAR4[4] ^ VAR4[5] ^ VAR4[8] ^ VAR4[12] ^ VAR4[13] ^ VAR4[17] ^ VAR4[19] ^ VAR4[21] ^ VAR4[22] ^ VAR4[24] ^ VAR4[26] ^ VAR4[29] ^ VAR4[30] ^ VAR7[0] ^ VAR7[4] ^ VAR7[5] ^ VAR7[8] ^ VAR7[12] ^ VAR7[13] ^ VAR7[17] ^ VAR7[19] ^ VAR7[21] ^ VAR7[22] ^ VAR7[24] ^ VAR7[26] ^ VAR7[29] ^ VAR7[30];
assign VAR6[17] = VAR4[1] ^ VAR4[5] ^ VAR4[6] ^ VAR4[9] ^ VAR4[13] ^ VAR4[14] ^ VAR4[18] ^ VAR4[20] ^ VAR4[22] ^ VAR4[23] ^ VAR4[25] ^ VAR4[27] ^ VAR4[30] ^ VAR4[31] ^ VAR7[1] ^ VAR7[5] ^ VAR7[6] ^ VAR7[9] ^ VAR7[13] ^ VAR7[14] ^ VAR7[18] ^ VAR7[20] ^ VAR7[22] ^ VAR7[23] ^ VAR7[25] ^ VAR7[27] ^ VAR7[30] ^ VAR7[31];
assign VAR6[18] = VAR4[2] ^ VAR4[6] ^ VAR4[7] ^ VAR4[10] ^ VAR4[14] ^ VAR4[15] ^ VAR4[19] ^ VAR4[21] ^ VAR4[23] ^ VAR4[24] ^ VAR4[26] ^ VAR4[28] ^ VAR4[31] ^ VAR7[2] ^ VAR7[6] ^ VAR7[7] ^ VAR7[10] ^ VAR7[14] ^ VAR7[15] ^ VAR7[19] ^ VAR7[21] ^ VAR7[23] ^ VAR7[24] ^ VAR7[26] ^ VAR7[28] ^ VAR7[31];
assign VAR6[19] = VAR4[3] ^ VAR4[7] ^ VAR4[8] ^ VAR4[11] ^ VAR4[15] ^ VAR4[16] ^ VAR4[20] ^ VAR4[22] ^ VAR4[24] ^ VAR4[25] ^ VAR4[27] ^ VAR4[29] ^ VAR7[3] ^ VAR7[7] ^ VAR7[8] ^ VAR7[11] ^ VAR7[15] ^ VAR7[16] ^ VAR7[20] ^ VAR7[22] ^ VAR7[24] ^ VAR7[25] ^ VAR7[27] ^ VAR7[29];
assign VAR6[20] = VAR4[4] ^ VAR4[8] ^ VAR4[9] ^ VAR4[12] ^ VAR4[16] ^ VAR4[17] ^ VAR4[21] ^ VAR4[23] ^ VAR4[25] ^ VAR4[26] ^ VAR4[28] ^ VAR4[30] ^ VAR7[4] ^ VAR7[8] ^ VAR7[9] ^ VAR7[12] ^ VAR7[16] ^ VAR7[17] ^ VAR7[21] ^ VAR7[23] ^ VAR7[25] ^ VAR7[26] ^ VAR7[28] ^ VAR7[30];
assign VAR6[21] = VAR4[5] ^ VAR4[9] ^ VAR4[10] ^ VAR4[13] ^ VAR4[17] ^ VAR4[18] ^ VAR4[22] ^ VAR4[24] ^ VAR4[26] ^ VAR4[27] ^ VAR4[29] ^ VAR4[31] ^ VAR7[5] ^ VAR7[9] ^ VAR7[10] ^ VAR7[13] ^ VAR7[17] ^ VAR7[18] ^ VAR7[22] ^ VAR7[24] ^ VAR7[26] ^ VAR7[27] ^ VAR7[29] ^ VAR7[31];
assign VAR6[22] = VAR4[0] ^ VAR4[9] ^ VAR4[11] ^ VAR4[12] ^ VAR4[14] ^ VAR4[16] ^ VAR4[18] ^ VAR4[19] ^ VAR4[23] ^ VAR4[24] ^ VAR4[26] ^ VAR4[27] ^ VAR4[29] ^ VAR4[31] ^ VAR7[0] ^ VAR7[9] ^ VAR7[11] ^ VAR7[12] ^ VAR7[14] ^ VAR7[16] ^ VAR7[18] ^ VAR7[19] ^ VAR7[23] ^ VAR7[24] ^ VAR7[26] ^ VAR7[27] ^ VAR7[29] ^ VAR7[31];
assign VAR6[23] = VAR4[0] ^ VAR4[1] ^ VAR4[6] ^ VAR4[9] ^ VAR4[13] ^ VAR4[15] ^ VAR4[16] ^ VAR4[17] ^ VAR4[19] ^ VAR4[20] ^ VAR4[26] ^ VAR4[27] ^ VAR4[29] ^ VAR4[31] ^ VAR7[0] ^ VAR7[1] ^ VAR7[6] ^ VAR7[9] ^ VAR7[13] ^ VAR7[15] ^ VAR7[16] ^ VAR7[17] ^ VAR7[19] ^ VAR7[20] ^ VAR7[26] ^ VAR7[27] ^ VAR7[29] ^ VAR7[31];
assign VAR6[24] = VAR4[1] ^ VAR4[2] ^ VAR4[7] ^ VAR4[10] ^ VAR4[14] ^ VAR4[16] ^ VAR4[17] ^ VAR4[18] ^ VAR4[20] ^ VAR4[21] ^ VAR4[27] ^ VAR4[28] ^ VAR4[30] ^ VAR7[1] ^ VAR7[2] ^ VAR7[7] ^ VAR7[10] ^ VAR7[14] ^ VAR7[16] ^ VAR7[17] ^ VAR7[18] ^ VAR7[20] ^ VAR7[21] ^ VAR7[27] ^ VAR7[28] ^ VAR7[30];
assign VAR6[25] = VAR4[2] ^ VAR4[3] ^ VAR4[8] ^ VAR4[11] ^ VAR4[15] ^ VAR4[17] ^ VAR4[18] ^ VAR4[19] ^ VAR4[21] ^ VAR4[22] ^ VAR4[28] ^ VAR4[29] ^ VAR4[31] ^ VAR7[2] ^ VAR7[3] ^ VAR7[8] ^ VAR7[11] ^ VAR7[15] ^ VAR7[17] ^ VAR7[18] ^ VAR7[19] ^ VAR7[21] ^ VAR7[22] ^ VAR7[28] ^ VAR7[29] ^ VAR7[31];
assign VAR6[26] = VAR4[0] ^ VAR4[3] ^ VAR4[4] ^ VAR4[6] ^ VAR4[10] ^ VAR4[18] ^ VAR4[19] ^ VAR4[20] ^ VAR4[22] ^ VAR4[23] ^ VAR4[24] ^ VAR4[25] ^ VAR4[26] ^ VAR4[28] ^ VAR4[31] ^ VAR7[0] ^ VAR7[3] ^ VAR7[4] ^ VAR7[6] ^ VAR7[10] ^ VAR7[18] ^ VAR7[19] ^ VAR7[20] ^ VAR7[22] ^ VAR7[23] ^ VAR7[24] ^ VAR7[25] ^ VAR7[26] ^ VAR7[28] ^ VAR7[31];
assign VAR6[27] = VAR4[1] ^ VAR4[4] ^ VAR4[5] ^ VAR4[7] ^ VAR4[11] ^ VAR4[19] ^ VAR4[20] ^ VAR4[21] ^ VAR4[23] ^ VAR4[24] ^ VAR4[25] ^ VAR4[26] ^ VAR4[27] ^ VAR4[29] ^ VAR7[1] ^ VAR7[4] ^ VAR7[5] ^ VAR7[7] ^ VAR7[11] ^ VAR7[19] ^ VAR7[20] ^ VAR7[21] ^ VAR7[23] ^ VAR7[24] ^ VAR7[25] ^ VAR7[26] ^ VAR7[27] ^ VAR7[29];
assign VAR6[28] = VAR4[2] ^ VAR4[5] ^ VAR4[6] ^ VAR4[8] ^ VAR4[12] ^ VAR4[20] ^ VAR4[21] ^ VAR4[22] ^ VAR4[24] ^ VAR4[25] ^ VAR4[26] ^ VAR4[27] ^ VAR4[28] ^ VAR4[30] ^ VAR7[2] ^ VAR7[5] ^ VAR7[6] ^ VAR7[8] ^ VAR7[12] ^ VAR7[20] ^ VAR7[21] ^ VAR7[22] ^ VAR7[24] ^ VAR7[25] ^ VAR7[26] ^ VAR7[27] ^ VAR7[28] ^ VAR7[30];
assign VAR6[29] = VAR4[3] ^ VAR4[6] ^ VAR4[7] ^ VAR4[9] ^ VAR4[13] ^ VAR4[21] ^ VAR4[22] ^ VAR4[23] ^ VAR4[25] ^ VAR4[26] ^ VAR4[27] ^ VAR4[28] ^ VAR4[29] ^ VAR4[31] ^ VAR7[3] ^ VAR7[6] ^ VAR7[7] ^ VAR7[9] ^ VAR7[13] ^ VAR7[21] ^ VAR7[22] ^ VAR7[23] ^ VAR7[25] ^ VAR7[26] ^ VAR7[27] ^ VAR7[28] ^ VAR7[29] ^ VAR7[31];
assign VAR6[30] = VAR4[4] ^ VAR4[7] ^ VAR4[8] ^ VAR4[10] ^ VAR4[14] ^ VAR4[22] ^ VAR4[23] ^ VAR4[24] ^ VAR4[26] ^ VAR4[27] ^ VAR4[28] ^ VAR4[29] ^ VAR4[30] ^ VAR7[4] ^ VAR7[7] ^ VAR7[8] ^ VAR7[10] ^ VAR7[14] ^ VAR7[22] ^ VAR7[23] ^ VAR7[24] ^ VAR7[26] ^ VAR7[27] ^ VAR7[28] ^ VAR7[29] ^ VAR7[30];
assign VAR6[31] = VAR4[5] ^ VAR4[8] ^ VAR4[9] ^ VAR4[11] ^ VAR4[15] ^ VAR4[23] ^ VAR4[24] ^ VAR4[25] ^ VAR4[27] ^ VAR4[28] ^ VAR4[29] ^ VAR4[30] ^ VAR4[31] ^ VAR7[5] ^ VAR7[8] ^ VAR7[9] ^ VAR7[11] ^ VAR7[15] ^ VAR7[23] ^ VAR7[24] ^ VAR7[25] ^ VAR7[27] ^ VAR7[28] ^ VAR7[29] ^ VAR7[30] ^ VAR7[31];
always @(posedge clk, posedge rst) begin
if(rst) begin
VAR4 <= {32{1'b1}};
end
else begin
VAR4 <= VAR2 ? VAR6 : VAR4;
end
end
endmodule
module MODULE5 (
input wire [23:0] VAR1,
input wire [31:0] VAR4,
output wire [31:0] VAR5,
input wire rst,
input wire clk
);
wire [31:0] VAR6;
wire [23:0] VAR7 = { VAR1[0 ],VAR1[1 ],VAR1[2 ],VAR1[3 ],VAR1[4 ],VAR1[5 ],VAR1[6 ],VAR1[7],
VAR1[8 ],VAR1[9 ],VAR1[10],VAR1[11],VAR1[12],VAR1[13],VAR1[14],VAR1[15],
VAR1[16],VAR1[17],VAR1[18],VAR1[19],VAR1[20],VAR1[21],VAR1[22],VAR1[23]};
assign VAR5 = ~{ VAR6[0], VAR6[1], VAR6[2], VAR6[3], VAR6[4], VAR6[5], VAR6[6], VAR6[7],
VAR6[8], VAR6[9], VAR6[10], VAR6[11], VAR6[12], VAR6[13], VAR6[14], VAR6[15],
VAR6[16], VAR6[17], VAR6[18], VAR6[19], VAR6[20], VAR6[21], VAR6[22], VAR6[23],
VAR6[24], VAR6[25], VAR6[26], VAR6[27], VAR6[28], VAR6[29], VAR6[30], VAR6[31]};
assign VAR6[0] = VAR4[8] ^ VAR4[14] ^ VAR4[17] ^ VAR4[18] ^ VAR4[20] ^ VAR4[24] ^ VAR7[0] ^ VAR7[6] ^ VAR7[9] ^ VAR7[10] ^ VAR7[12] ^ VAR7[16];
assign VAR6[1] = VAR4[8] ^ VAR4[9] ^ VAR4[14] ^ VAR4[15] ^ VAR4[17] ^ VAR4[19] ^ VAR4[20] ^ VAR4[21] ^ VAR4[24] ^ VAR4[25] ^ VAR7[0] ^ VAR7[1] ^ VAR7[6] ^ VAR7[7] ^ VAR7[9] ^ VAR7[11] ^ VAR7[12] ^ VAR7[13] ^ VAR7[16] ^ VAR7[17];
assign VAR6[2] = VAR4[8] ^ VAR4[9] ^ VAR4[10] ^ VAR4[14] ^ VAR4[15] ^ VAR4[16] ^ VAR4[17] ^ VAR4[21] ^ VAR4[22] ^ VAR4[24] ^ VAR4[25] ^ VAR4[26] ^ VAR7[0] ^ VAR7[1] ^ VAR7[2] ^ VAR7[6] ^ VAR7[7] ^ VAR7[8] ^ VAR7[9] ^ VAR7[13] ^ VAR7[14] ^ VAR7[16] ^ VAR7[17] ^ VAR7[18];
assign VAR6[3] = VAR4[9] ^ VAR4[10] ^ VAR4[11] ^ VAR4[15] ^ VAR4[16] ^ VAR4[17] ^ VAR4[18] ^ VAR4[22] ^ VAR4[23] ^ VAR4[25] ^ VAR4[26] ^ VAR4[27] ^ VAR7[1] ^ VAR7[2] ^ VAR7[3] ^ VAR7[7] ^ VAR7[8] ^ VAR7[9] ^ VAR7[10] ^ VAR7[14] ^ VAR7[15] ^ VAR7[17] ^ VAR7[18] ^ VAR7[19];
assign VAR6[4] = VAR4[8] ^ VAR4[10] ^ VAR4[11] ^ VAR4[12] ^ VAR4[14] ^ VAR4[16] ^ VAR4[19] ^ VAR4[20] ^ VAR4[23] ^ VAR4[26] ^ VAR4[27] ^ VAR4[28] ^ VAR7[0] ^ VAR7[2] ^ VAR7[3] ^ VAR7[4] ^ VAR7[6] ^ VAR7[8] ^ VAR7[11] ^ VAR7[12] ^ VAR7[15] ^ VAR7[18] ^ VAR7[19] ^ VAR7[20];
assign VAR6[5] = VAR4[8] ^ VAR4[9] ^ VAR4[11] ^ VAR4[12] ^ VAR4[13] ^ VAR4[14] ^ VAR4[15] ^ VAR4[18] ^ VAR4[21] ^ VAR4[27] ^ VAR4[28] ^ VAR4[29] ^ VAR7[0] ^ VAR7[1] ^ VAR7[3] ^ VAR7[4] ^ VAR7[5] ^ VAR7[6] ^ VAR7[7] ^ VAR7[10] ^ VAR7[13] ^ VAR7[19] ^ VAR7[20] ^ VAR7[21];
assign VAR6[6] = VAR4[9] ^ VAR4[10] ^ VAR4[12] ^ VAR4[13] ^ VAR4[14] ^ VAR4[15] ^ VAR4[16] ^ VAR4[19] ^ VAR4[22] ^ VAR4[28] ^ VAR4[29] ^ VAR4[30] ^ VAR7[1] ^ VAR7[2] ^ VAR7[4] ^ VAR7[5] ^ VAR7[6] ^ VAR7[7] ^ VAR7[8] ^ VAR7[11] ^ VAR7[14] ^ VAR7[20] ^ VAR7[21] ^ VAR7[22];
assign VAR6[7] = VAR4[8] ^ VAR4[10] ^ VAR4[11] ^ VAR4[13] ^ VAR4[15] ^ VAR4[16] ^ VAR4[18] ^ VAR4[23] ^ VAR4[24] ^ VAR4[29] ^ VAR4[30] ^ VAR4[31] ^ VAR7[0] ^ VAR7[2] ^ VAR7[3] ^ VAR7[5] ^ VAR7[7] ^ VAR7[8] ^ VAR7[10] ^ VAR7[15] ^ VAR7[16] ^ VAR7[21] ^ VAR7[22] ^ VAR7[23];
assign VAR6[8] = VAR4[8] ^ VAR4[9] ^ VAR4[11] ^ VAR4[12] ^ VAR4[16] ^ VAR4[18] ^ VAR4[19] ^ VAR4[20] ^ VAR4[25] ^ VAR4[30] ^ VAR4[31] ^ VAR7[0] ^ VAR7[1] ^ VAR7[3] ^ VAR7[4] ^ VAR7[8] ^ VAR7[10] ^ VAR7[11] ^ VAR7[12] ^ VAR7[17] ^ VAR7[22] ^ VAR7[23];
assign VAR6[9] = VAR4[9] ^ VAR4[10] ^ VAR4[12] ^ VAR4[13] ^ VAR4[17] ^ VAR4[19] ^ VAR4[20] ^ VAR4[21] ^ VAR4[26] ^ VAR4[31] ^ VAR7[1] ^ VAR7[2] ^ VAR7[4] ^ VAR7[5] ^ VAR7[9] ^ VAR7[11] ^ VAR7[12] ^ VAR7[13] ^ VAR7[18] ^ VAR7[23];
assign VAR6[10] = VAR4[8] ^ VAR4[10] ^ VAR4[11] ^ VAR4[13] ^ VAR4[17] ^ VAR4[21] ^ VAR4[22] ^ VAR4[24] ^ VAR4[27] ^ VAR7[0] ^ VAR7[2] ^ VAR7[3] ^ VAR7[5] ^ VAR7[9] ^ VAR7[13] ^ VAR7[14] ^ VAR7[16] ^ VAR7[19];
assign VAR6[11] = VAR4[8] ^ VAR4[9] ^ VAR4[11] ^ VAR4[12] ^ VAR4[17] ^ VAR4[20] ^ VAR4[22] ^ VAR4[23] ^ VAR4[24] ^ VAR4[25] ^ VAR4[28] ^ VAR7[0] ^ VAR7[1] ^ VAR7[3] ^ VAR7[4] ^ VAR7[9] ^ VAR7[12] ^ VAR7[14] ^ VAR7[15] ^ VAR7[16] ^ VAR7[17] ^ VAR7[20];
assign VAR6[12] = VAR4[8] ^ VAR4[9] ^ VAR4[10] ^ VAR4[12] ^ VAR4[13] ^ VAR4[14] ^ VAR4[17] ^ VAR4[20] ^ VAR4[21] ^ VAR4[23] ^ VAR4[25] ^ VAR4[26] ^ VAR4[29] ^ VAR7[0] ^ VAR7[1] ^ VAR7[2] ^ VAR7[4] ^ VAR7[5] ^ VAR7[6] ^ VAR7[9] ^ VAR7[12] ^ VAR7[13] ^ VAR7[15] ^ VAR7[17] ^ VAR7[18] ^ VAR7[21];
assign VAR6[13] = VAR4[9] ^ VAR4[10] ^ VAR4[11] ^ VAR4[13] ^ VAR4[14] ^ VAR4[15] ^ VAR4[18] ^ VAR4[21] ^ VAR4[22] ^ VAR4[24] ^ VAR4[26] ^ VAR4[27] ^ VAR4[30] ^ VAR7[1] ^ VAR7[2] ^ VAR7[3] ^ VAR7[5] ^ VAR7[6] ^ VAR7[7] ^ VAR7[10] ^ VAR7[13] ^ VAR7[14] ^ VAR7[16] ^ VAR7[18] ^ VAR7[19] ^ VAR7[22];
assign VAR6[14] = VAR4[10] ^ VAR4[11] ^ VAR4[12] ^ VAR4[14] ^ VAR4[15] ^ VAR4[16] ^ VAR4[19] ^ VAR4[22] ^ VAR4[23] ^ VAR4[25] ^ VAR4[27] ^ VAR4[28] ^ VAR4[31] ^ VAR7[2] ^ VAR7[3] ^ VAR7[4] ^ VAR7[6] ^ VAR7[7] ^ VAR7[8] ^ VAR7[11] ^ VAR7[14] ^ VAR7[15] ^ VAR7[17] ^ VAR7[19] ^ VAR7[20] ^ VAR7[23];
assign VAR6[15] = VAR4[11] ^ VAR4[12] ^ VAR4[13] ^ VAR4[15] ^ VAR4[16] ^ VAR4[17] ^ VAR4[20] ^ VAR4[23] ^ VAR4[24] ^ VAR4[26] ^ VAR4[28] ^ VAR4[29] ^ VAR7[3] ^ VAR7[4] ^ VAR7[5] ^ VAR7[7] ^ VAR7[8] ^ VAR7[9] ^ VAR7[12] ^ VAR7[15] ^ VAR7[16] ^ VAR7[18] ^ VAR7[20] ^ VAR7[21];
assign VAR6[16] = VAR4[8] ^ VAR4[12] ^ VAR4[13] ^ VAR4[16] ^ VAR4[20] ^ VAR4[21] ^ VAR4[25] ^ VAR4[27] ^ VAR4[29] ^ VAR4[30] ^ VAR7[0] ^ VAR7[4] ^ VAR7[5] ^ VAR7[8] ^ VAR7[12] ^ VAR7[13] ^ VAR7[17] ^ VAR7[19] ^ VAR7[21] ^ VAR7[22];
assign VAR6[17] = VAR4[9] ^ VAR4[13] ^ VAR4[14] ^ VAR4[17] ^ VAR4[21] ^ VAR4[22] ^ VAR4[26] ^ VAR4[28] ^ VAR4[30] ^ VAR4[31] ^ VAR7[1] ^ VAR7[5] ^ VAR7[6] ^ VAR7[9] ^ VAR7[13] ^ VAR7[14] ^ VAR7[18] ^ VAR7[20] ^ VAR7[22] ^ VAR7[23];
assign VAR6[18] = VAR4[10] ^ VAR4[14] ^ VAR4[15] ^ VAR4[18] ^ VAR4[22] ^ VAR4[23] ^ VAR4[27] ^ VAR4[29] ^ VAR4[31] ^ VAR7[2] ^ VAR7[6] ^ VAR7[7] ^ VAR7[10] ^ VAR7[14] ^ VAR7[15] ^ VAR7[19] ^ VAR7[21] ^ VAR7[23];
assign VAR6[19] = VAR4[11] ^ VAR4[15] ^ VAR4[16] ^ VAR4[19] ^ VAR4[23] ^ VAR4[24] ^ VAR4[28] ^ VAR4[30] ^ VAR7[3] ^ VAR7[7] ^ VAR7[8] ^ VAR7[11] ^ VAR7[15] ^ VAR7[16] ^ VAR7[20] ^ VAR7[22];
assign VAR6[20] = VAR4[12] ^ VAR4[16] ^ VAR4[17] ^ VAR4[20] ^ VAR4[24] ^ VAR4[25] ^ VAR4[29] ^ VAR4[31] ^ VAR7[4] ^ VAR7[8] ^ VAR7[9] ^ VAR7[12] ^ VAR7[16] ^ VAR7[17] ^ VAR7[21] ^ VAR7[23];
assign VAR6[21] = VAR4[13] ^ VAR4[17] ^ VAR4[18] ^ VAR4[21] ^ VAR4[25] ^ VAR4[26] ^ VAR4[30] ^ VAR7[5] ^ VAR7[9] ^ VAR7[10] ^ VAR7[13] ^ VAR7[17] ^ VAR7[18] ^ VAR7[22];
assign VAR6[22] = VAR4[8] ^ VAR4[17] ^ VAR4[19] ^ VAR4[20] ^ VAR4[22] ^ VAR4[24] ^ VAR4[26] ^ VAR4[27] ^ VAR4[31] ^ VAR7[0] ^ VAR7[9] ^ VAR7[11] ^ VAR7[12] ^ VAR7[14] ^ VAR7[16] ^ VAR7[18] ^ VAR7[19] ^ VAR7[23];
assign VAR6[23] = VAR4[8] ^ VAR4[9] ^ VAR4[14] ^ VAR4[17] ^ VAR4[21] ^ VAR4[23] ^ VAR4[24] ^ VAR4[25] ^ VAR4[27] ^ VAR4[28] ^ VAR7[0] ^ VAR7[1] ^ VAR7[6] ^ VAR7[9] ^ VAR7[13] ^ VAR7[15] ^ VAR7[16] ^ VAR7[17] ^ VAR7[19] ^ VAR7[20];
assign VAR6[24] = VAR4[0] ^ VAR4[9] ^ VAR4[10] ^ VAR4[15] ^ VAR4[18] ^ VAR4[22] ^ VAR4[24] ^ VAR4[25] ^ VAR4[26] ^ VAR4[28] ^ VAR4[29] ^ VAR7[1] ^ VAR7[2] ^ VAR7[7] ^ VAR7[10] ^ VAR7[14] ^ VAR7[16] ^ VAR7[17] ^ VAR7[18] ^ VAR7[20] ^ VAR7[21];
assign VAR6[25] = VAR4[1] ^ VAR4[10] ^ VAR4[11] ^ VAR4[16] ^ VAR4[19] ^ VAR4[23] ^ VAR4[25] ^ VAR4[26] ^ VAR4[27] ^ VAR4[29] ^ VAR4[30] ^ VAR7[2] ^ VAR7[3] ^ VAR7[8] ^ VAR7[11] ^ VAR7[15] ^ VAR7[17] ^ VAR7[18] ^ VAR7[19] ^ VAR7[21] ^ VAR7[22];
assign VAR6[26] = VAR4[2] ^ VAR4[8] ^ VAR4[11] ^ VAR4[12] ^ VAR4[14] ^ VAR4[18] ^ VAR4[26] ^ VAR4[27] ^ VAR4[28] ^ VAR4[30] ^ VAR4[31] ^ VAR7[0] ^ VAR7[3] ^ VAR7[4] ^ VAR7[6] ^ VAR7[10] ^ VAR7[18] ^ VAR7[19] ^ VAR7[20] ^ VAR7[22] ^ VAR7[23];
assign VAR6[27] = VAR4[3] ^ VAR4[9] ^ VAR4[12] ^ VAR4[13] ^ VAR4[15] ^ VAR4[19] ^ VAR4[27] ^ VAR4[28] ^ VAR4[29] ^ VAR4[31] ^ VAR7[1] ^ VAR7[4] ^ VAR7[5] ^ VAR7[7] ^ VAR7[11] ^ VAR7[19] ^ VAR7[20] ^ VAR7[21] ^ VAR7[23];
assign VAR6[28] = VAR4[4] ^ VAR4[10] ^ VAR4[13] ^ VAR4[14] ^ VAR4[16] ^ VAR4[20] ^ VAR4[28] ^ VAR4[29] ^ VAR4[30] ^ VAR7[2] ^ VAR7[5] ^ VAR7[6] ^ VAR7[8] ^ VAR7[12] ^ VAR7[20] ^ VAR7[21] ^ VAR7[22];
assign VAR6[29] = VAR4[5] ^ VAR4[11] ^ VAR4[14] ^ VAR4[15] ^ VAR4[17] ^ VAR4[21] ^ VAR4[29] ^ VAR4[30] ^ VAR4[31] ^ VAR7[3] ^ VAR7[6] ^ VAR7[7] ^ VAR7[9] ^ VAR7[13] ^ VAR7[21] ^ VAR7[22] ^ VAR7[23];
assign VAR6[30] = VAR4[6] ^ VAR4[12] ^ VAR4[15] ^ VAR4[16] ^ VAR4[18] ^ VAR4[22] ^ VAR4[30] ^ VAR4[31] ^ VAR7[4] ^ VAR7[7] ^ VAR7[8] ^ VAR7[10] ^ VAR7[14] ^ VAR7[22] ^ VAR7[23];
assign VAR6[31] = VAR4[7] ^ VAR4[13] ^ VAR4[16] ^ VAR4[17] ^ VAR4[19] ^ VAR4[23] ^ VAR4[31] ^ VAR7[5] ^ VAR7[8] ^ VAR7[9] ^ VAR7[11] ^ VAR7[15] ^ VAR7[23];
endmodule
module MODULE3 (
input wire [15:0] VAR1,
input wire [31:0] VAR4,
output wire [31:0] VAR5,
input wire rst,
input wire clk
);
wire [31:0] VAR6;
wire [15:0] VAR7 = { VAR1[0 ],VAR1[1 ],VAR1[2 ],VAR1[3 ],VAR1[4 ],VAR1[5 ],VAR1[6 ],VAR1[7],
VAR1[8 ],VAR1[9 ],VAR1[10],VAR1[11],VAR1[12],VAR1[13],VAR1[14],VAR1[15]};
assign VAR5 = ~{ VAR6[0], VAR6[1], VAR6[2], VAR6[3], VAR6[4], VAR6[5], VAR6[6], VAR6[7],
VAR6[8], VAR6[9], VAR6[10], VAR6[11], VAR6[12], VAR6[13], VAR6[14], VAR6[15],
VAR6[16], VAR6[17], VAR6[18], VAR6[19], VAR6[20], VAR6[21], VAR6[22], VAR6[23],
VAR6[24], VAR6[25], VAR6[26], VAR6[27], VAR6[28], VAR6[29], VAR6[30], VAR6[31]};
assign VAR6[0] = VAR4[16] ^ VAR4[22] ^ VAR4[25] ^ VAR4[26] ^ VAR4[28] ^ VAR7[0] ^ VAR7[6] ^ VAR7[9] ^ VAR7[10] ^ VAR7[12];
assign VAR6[1] = VAR4[16] ^ VAR4[17] ^ VAR4[22] ^ VAR4[23] ^ VAR4[25] ^ VAR4[27] ^ VAR4[28] ^ VAR4[29] ^ VAR7[0] ^ VAR7[1] ^ VAR7[6] ^ VAR7[7] ^ VAR7[9] ^ VAR7[11] ^ VAR7[12] ^ VAR7[13];
assign VAR6[2] = VAR4[16] ^ VAR4[17] ^ VAR4[18] ^ VAR4[22] ^ VAR4[23] ^ VAR4[24] ^ VAR4[25] ^ VAR4[29] ^ VAR4[30] ^ VAR7[0] ^ VAR7[1] ^ VAR7[2] ^ VAR7[6] ^ VAR7[7] ^ VAR7[8] ^ VAR7[9] ^ VAR7[13] ^ VAR7[14];
assign VAR6[3] = VAR4[17] ^ VAR4[18] ^ VAR4[19] ^ VAR4[23] ^ VAR4[24] ^ VAR4[25] ^ VAR4[26] ^ VAR4[30] ^ VAR4[31] ^ VAR7[1] ^ VAR7[2] ^ VAR7[3] ^ VAR7[7] ^ VAR7[8] ^ VAR7[9] ^ VAR7[10] ^ VAR7[14] ^ VAR7[15];
assign VAR6[4] = VAR4[16] ^ VAR4[18] ^ VAR4[19] ^ VAR4[20] ^ VAR4[22] ^ VAR4[24] ^ VAR4[27] ^ VAR4[28] ^ VAR4[31] ^ VAR7[0] ^ VAR7[2] ^ VAR7[3] ^ VAR7[4] ^ VAR7[6] ^ VAR7[8] ^ VAR7[11] ^ VAR7[12] ^ VAR7[15];
assign VAR6[5] = VAR4[16] ^ VAR4[17] ^ VAR4[19] ^ VAR4[20] ^ VAR4[21] ^ VAR4[22] ^ VAR4[23] ^ VAR4[26] ^ VAR4[29] ^ VAR7[0] ^ VAR7[1] ^ VAR7[3] ^ VAR7[4] ^ VAR7[5] ^ VAR7[6] ^ VAR7[7] ^ VAR7[10] ^ VAR7[13];
assign VAR6[6] = VAR4[17] ^ VAR4[18] ^ VAR4[20] ^ VAR4[21] ^ VAR4[22] ^ VAR4[23] ^ VAR4[24] ^ VAR4[27] ^ VAR4[30] ^ VAR7[1] ^ VAR7[2] ^ VAR7[4] ^ VAR7[5] ^ VAR7[6] ^ VAR7[7] ^ VAR7[8] ^ VAR7[11] ^ VAR7[14];
assign VAR6[7] = VAR4[16] ^ VAR4[18] ^ VAR4[19] ^ VAR4[21] ^ VAR4[23] ^ VAR4[24] ^ VAR4[26] ^ VAR4[31] ^ VAR7[0] ^ VAR7[2] ^ VAR7[3] ^ VAR7[5] ^ VAR7[7] ^ VAR7[8] ^ VAR7[10] ^ VAR7[15];
assign VAR6[8] = VAR4[16] ^ VAR4[17] ^ VAR4[19] ^ VAR4[20] ^ VAR4[24] ^ VAR4[26] ^ VAR4[27] ^ VAR4[28] ^ VAR7[0] ^ VAR7[1] ^ VAR7[3] ^ VAR7[4] ^ VAR7[8] ^ VAR7[10] ^ VAR7[11] ^ VAR7[12];
assign VAR6[9] = VAR4[17] ^ VAR4[18] ^ VAR4[20] ^ VAR4[21] ^ VAR4[25] ^ VAR4[27] ^ VAR4[28] ^ VAR4[29] ^ VAR7[1] ^ VAR7[2] ^ VAR7[4] ^ VAR7[5] ^ VAR7[9] ^ VAR7[11] ^ VAR7[12] ^ VAR7[13];
assign VAR6[10] = VAR4[16] ^ VAR4[18] ^ VAR4[19] ^ VAR4[21] ^ VAR4[25] ^ VAR4[29] ^ VAR4[30] ^ VAR7[0] ^ VAR7[2] ^ VAR7[3] ^ VAR7[5] ^ VAR7[9] ^ VAR7[13] ^ VAR7[14];
assign VAR6[11] = VAR4[16] ^ VAR4[17] ^ VAR4[19] ^ VAR4[20] ^ VAR4[25] ^ VAR4[28] ^ VAR4[30] ^ VAR4[31] ^ VAR7[0] ^ VAR7[1] ^ VAR7[3] ^ VAR7[4] ^ VAR7[9] ^ VAR7[12] ^ VAR7[14] ^ VAR7[15];
assign VAR6[12] = VAR4[16] ^ VAR4[17] ^ VAR4[18] ^ VAR4[20] ^ VAR4[21] ^ VAR4[22] ^ VAR4[25] ^ VAR4[28] ^ VAR4[29] ^ VAR4[31] ^ VAR7[0] ^ VAR7[1] ^ VAR7[2] ^ VAR7[4] ^ VAR7[5] ^ VAR7[6] ^ VAR7[9] ^ VAR7[12] ^ VAR7[13] ^ VAR7[15];
assign VAR6[13] = VAR4[17] ^ VAR4[18] ^ VAR4[19] ^ VAR4[21] ^ VAR4[22] ^ VAR4[23] ^ VAR4[26] ^ VAR4[29] ^ VAR4[30] ^ VAR7[1] ^ VAR7[2] ^ VAR7[3] ^ VAR7[5] ^ VAR7[6] ^ VAR7[7] ^ VAR7[10] ^ VAR7[13] ^ VAR7[14];
assign VAR6[14] = VAR4[18] ^ VAR4[19] ^ VAR4[20] ^ VAR4[22] ^ VAR4[23] ^ VAR4[24] ^ VAR4[27] ^ VAR4[30] ^ VAR4[31] ^ VAR7[2] ^ VAR7[3] ^ VAR7[4] ^ VAR7[6] ^ VAR7[7] ^ VAR7[8] ^ VAR7[11] ^ VAR7[14] ^ VAR7[15];
assign VAR6[15] = VAR4[19] ^ VAR4[20] ^ VAR4[21] ^ VAR4[23] ^ VAR4[24] ^ VAR4[25] ^ VAR4[28] ^ VAR4[31] ^ VAR7[3] ^ VAR7[4] ^ VAR7[5] ^ VAR7[7] ^ VAR7[8] ^ VAR7[9] ^ VAR7[12] ^ VAR7[15];
assign VAR6[16] = VAR4[0] ^ VAR4[16] ^ VAR4[20] ^ VAR4[21] ^ VAR4[24] ^ VAR4[28] ^ VAR4[29] ^ VAR7[0] ^ VAR7[4] ^ VAR7[5] ^ VAR7[8] ^ VAR7[12] ^ VAR7[13];
assign VAR6[17] = VAR4[1] ^ VAR4[17] ^ VAR4[21] ^ VAR4[22] ^ VAR4[25] ^ VAR4[29] ^ VAR4[30] ^ VAR7[1] ^ VAR7[5] ^ VAR7[6] ^ VAR7[9] ^ VAR7[13] ^ VAR7[14];
assign VAR6[18] = VAR4[2] ^ VAR4[18] ^ VAR4[22] ^ VAR4[23] ^ VAR4[26] ^ VAR4[30] ^ VAR4[31] ^ VAR7[2] ^ VAR7[6] ^ VAR7[7] ^ VAR7[10] ^ VAR7[14] ^ VAR7[15];
assign VAR6[19] = VAR4[3] ^ VAR4[19] ^ VAR4[23] ^ VAR4[24] ^ VAR4[27] ^ VAR4[31] ^ VAR7[3] ^ VAR7[7] ^ VAR7[8] ^ VAR7[11] ^ VAR7[15];
assign VAR6[20] = VAR4[4] ^ VAR4[20] ^ VAR4[24] ^ VAR4[25] ^ VAR4[28] ^ VAR7[4] ^ VAR7[8] ^ VAR7[9] ^ VAR7[12];
assign VAR6[21] = VAR4[5] ^ VAR4[21] ^ VAR4[25] ^ VAR4[26] ^ VAR4[29] ^ VAR7[5] ^ VAR7[9] ^ VAR7[10] ^ VAR7[13];
assign VAR6[22] = VAR4[6] ^ VAR4[16] ^ VAR4[25] ^ VAR4[27] ^ VAR4[28] ^ VAR4[30] ^ VAR7[0] ^ VAR7[9] ^ VAR7[11] ^ VAR7[12] ^ VAR7[14];
assign VAR6[23] = VAR4[7] ^ VAR4[16] ^ VAR4[17] ^ VAR4[22] ^ VAR4[25] ^ VAR4[29] ^ VAR4[31] ^ VAR7[0] ^ VAR7[1] ^ VAR7[6] ^ VAR7[9] ^ VAR7[13] ^ VAR7[15];
assign VAR6[24] = VAR4[8] ^ VAR4[17] ^ VAR4[18] ^ VAR4[23] ^ VAR4[26] ^ VAR4[30] ^ VAR7[1] ^ VAR7[2] ^ VAR7[7] ^ VAR7[10] ^ VAR7[14];
assign VAR6[25] = VAR4[9] ^ VAR4[18] ^ VAR4[19] ^ VAR4[24] ^ VAR4[27] ^ VAR4[31] ^ VAR7[2] ^ VAR7[3] ^ VAR7[8] ^ VAR7[11] ^ VAR7[15];
assign VAR6[26] = VAR4[10] ^ VAR4[16] ^ VAR4[19] ^ VAR4[20] ^ VAR4[22] ^ VAR4[26] ^ VAR7[0] ^ VAR7[3] ^ VAR7[4] ^ VAR7[6] ^ VAR7[10];
assign VAR6[27] = VAR4[11] ^ VAR4[17] ^ VAR4[20] ^ VAR4[21] ^ VAR4[23] ^ VAR4[27] ^ VAR7[1] ^ VAR7[4] ^ VAR7[5] ^ VAR7[7] ^ VAR7[11];
assign VAR6[28] = VAR4[12] ^ VAR4[18] ^ VAR4[21] ^ VAR4[22] ^ VAR4[24] ^ VAR4[28] ^ VAR7[2] ^ VAR7[5] ^ VAR7[6] ^ VAR7[8] ^ VAR7[12];
assign VAR6[29] = VAR4[13] ^ VAR4[19] ^ VAR4[22] ^ VAR4[23] ^ VAR4[25] ^ VAR4[29] ^ VAR7[3] ^ VAR7[6] ^ VAR7[7] ^ VAR7[9] ^ VAR7[13];
assign VAR6[30] = VAR4[14] ^ VAR4[20] ^ VAR4[23] ^ VAR4[24] ^ VAR4[26] ^ VAR4[30] ^ VAR7[4] ^ VAR7[7] ^ VAR7[8] ^ VAR7[10] ^ VAR7[14];
assign VAR6[31] = VAR4[15] ^ VAR4[21] ^ VAR4[24] ^ VAR4[25] ^ VAR4[27] ^ VAR4[31] ^ VAR7[5] ^ VAR7[8] ^ VAR7[9] ^ VAR7[11] ^ VAR7[15];
endmodule
module MODULE6 (
input wire [7:0] VAR1,
input wire [31:0] VAR4,
output wire [31:0] VAR5,
input wire rst,
input wire clk
);
wire [31:0] VAR6;
wire [7:0] VAR7 = { VAR1[0 ],VAR1[1 ],VAR1[2 ],VAR1[3 ],VAR1[4 ],VAR1[5 ],VAR1[6 ],VAR1[7]};
assign VAR5 = ~{ VAR6[0], VAR6[1], VAR6[2], VAR6[3], VAR6[4], VAR6[5], VAR6[6], VAR6[7],
VAR6[8], VAR6[9], VAR6[10], VAR6[11], VAR6[12], VAR6[13], VAR6[14], VAR6[15],
VAR6[16], VAR6[17], VAR6[18], VAR6[19], VAR6[20], VAR6[21], VAR6[22], VAR6[23],
VAR6[24], VAR6[25], VAR6[26], VAR6[27], VAR6[28], VAR6[29], VAR6[30], VAR6[31]};
assign VAR6[0] = VAR4[24] ^ VAR4[30] ^ VAR7[0] ^ VAR7[6];
assign VAR6[1] = VAR4[24] ^ VAR4[25] ^ VAR4[30] ^ VAR4[31] ^ VAR7[0] ^ VAR7[1] ^ VAR7[6] ^ VAR7[7];
assign VAR6[2] = VAR4[24] ^ VAR4[25] ^ VAR4[26] ^ VAR4[30] ^ VAR4[31] ^ VAR7[0] ^ VAR7[1] ^ VAR7[2] ^ VAR7[6] ^ VAR7[7];
assign VAR6[3] = VAR4[25] ^ VAR4[26] ^ VAR4[27] ^ VAR4[31] ^ VAR7[1] ^ VAR7[2] ^ VAR7[3] ^ VAR7[7];
assign VAR6[4] = VAR4[24] ^ VAR4[26] ^ VAR4[27] ^ VAR4[28] ^ VAR4[30] ^ VAR7[0] ^ VAR7[2] ^ VAR7[3] ^ VAR7[4] ^ VAR7[6];
assign VAR6[5] = VAR4[24] ^ VAR4[25] ^ VAR4[27] ^ VAR4[28] ^ VAR4[29] ^ VAR4[30] ^ VAR4[31] ^ VAR7[0] ^ VAR7[1] ^ VAR7[3] ^ VAR7[4] ^ VAR7[5] ^ VAR7[6] ^ VAR7[7];
assign VAR6[6] = VAR4[25] ^ VAR4[26] ^ VAR4[28] ^ VAR4[29] ^ VAR4[30] ^ VAR4[31] ^ VAR7[1] ^ VAR7[2] ^ VAR7[4] ^ VAR7[5] ^ VAR7[6] ^ VAR7[7];
assign VAR6[7] = VAR4[24] ^ VAR4[26] ^ VAR4[27] ^ VAR4[29] ^ VAR4[31] ^ VAR7[0] ^ VAR7[2] ^ VAR7[3] ^ VAR7[5] ^ VAR7[7];
assign VAR6[8] = VAR4[0] ^ VAR4[24] ^ VAR4[25] ^ VAR4[27] ^ VAR4[28] ^ VAR7[0] ^ VAR7[1] ^ VAR7[3] ^ VAR7[4];
assign VAR6[9] = VAR4[1] ^ VAR4[25] ^ VAR4[26] ^ VAR4[28] ^ VAR4[29] ^ VAR7[1] ^ VAR7[2] ^ VAR7[4] ^ VAR7[5];
assign VAR6[10] = VAR4[2] ^ VAR4[24] ^ VAR4[26] ^ VAR4[27] ^ VAR4[29] ^ VAR7[0] ^ VAR7[2] ^ VAR7[3] ^ VAR7[5];
assign VAR6[11] = VAR4[3] ^ VAR4[24] ^ VAR4[25] ^ VAR4[27] ^ VAR4[28] ^ VAR7[0] ^ VAR7[1] ^ VAR7[3] ^ VAR7[4];
assign VAR6[12] = VAR4[4] ^ VAR4[24] ^ VAR4[25] ^ VAR4[26] ^ VAR4[28] ^ VAR4[29] ^ VAR4[30] ^ VAR7[0] ^ VAR7[1] ^ VAR7[2] ^ VAR7[4] ^ VAR7[5] ^ VAR7[6];
assign VAR6[13] = VAR4[5] ^ VAR4[25] ^ VAR4[26] ^ VAR4[27] ^ VAR4[29] ^ VAR4[30] ^ VAR4[31] ^ VAR7[1] ^ VAR7[2] ^ VAR7[3] ^ VAR7[5] ^ VAR7[6] ^ VAR7[7];
assign VAR6[14] = VAR4[6] ^ VAR4[26] ^ VAR4[27] ^ VAR4[28] ^ VAR4[30] ^ VAR4[31] ^ VAR7[2] ^ VAR7[3] ^ VAR7[4] ^ VAR7[6] ^ VAR7[7];
assign VAR6[15] = VAR4[7] ^ VAR4[27] ^ VAR4[28] ^ VAR4[29] ^ VAR4[31] ^ VAR7[3] ^ VAR7[4] ^ VAR7[5] ^ VAR7[7];
assign VAR6[16] = VAR4[8] ^ VAR4[24] ^ VAR4[28] ^ VAR4[29] ^ VAR7[0] ^ VAR7[4] ^ VAR7[5];
assign VAR6[17] = VAR4[9] ^ VAR4[25] ^ VAR4[29] ^ VAR4[30] ^ VAR7[1] ^ VAR7[5] ^ VAR7[6];
assign VAR6[18] = VAR4[10] ^ VAR4[26] ^ VAR4[30] ^ VAR4[31] ^ VAR7[2] ^ VAR7[6] ^ VAR7[7];
assign VAR6[19] = VAR4[11] ^ VAR4[27] ^ VAR4[31] ^ VAR7[3] ^ VAR7[7];
assign VAR6[20] = VAR4[12] ^ VAR4[28] ^ VAR7[4];
assign VAR6[21] = VAR4[13] ^ VAR4[29] ^ VAR7[5];
assign VAR6[22] = VAR4[14] ^ VAR4[24] ^ VAR7[0];
assign VAR6[23] = VAR4[15] ^ VAR4[24] ^ VAR4[25] ^ VAR4[30] ^ VAR7[0] ^ VAR7[1] ^ VAR7[6];
assign VAR6[24] = VAR4[16] ^ VAR4[25] ^ VAR4[26] ^ VAR4[31] ^ VAR7[1] ^ VAR7[2] ^ VAR7[7];
assign VAR6[25] = VAR4[17] ^ VAR4[26] ^ VAR4[27] ^ VAR7[2] ^ VAR7[3];
assign VAR6[26] = VAR4[18] ^ VAR4[24] ^ VAR4[27] ^ VAR4[28] ^ VAR4[30] ^ VAR7[0] ^ VAR7[3] ^ VAR7[4] ^ VAR7[6];
assign VAR6[27] = VAR4[19] ^ VAR4[25] ^ VAR4[28] ^ VAR4[29] ^ VAR4[31] ^ VAR7[1] ^ VAR7[4] ^ VAR7[5] ^ VAR7[7];
assign VAR6[28] = VAR4[20] ^ VAR4[26] ^ VAR4[29] ^ VAR4[30] ^ VAR7[2] ^ VAR7[5] ^ VAR7[6];
assign VAR6[29] = VAR4[21] ^ VAR4[27] ^ VAR4[30] ^ VAR4[31] ^ VAR7[3] ^ VAR7[6] ^ VAR7[7];
assign VAR6[30] = VAR4[22] ^ VAR4[28] ^ VAR4[31] ^ VAR7[4] ^ VAR7[7];
assign VAR6[31] = VAR4[23] ^ VAR4[29] ^ VAR7[5];
endmodule
|
isc
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/tap/sky130_fd_sc_ms__tap.pp.blackbox.v
| 1,215 |
module MODULE1 (
VAR4,
VAR3,
VAR1 ,
VAR2
);
input VAR4;
input VAR3;
input VAR1 ;
input VAR2 ;
endmodule
|
apache-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
|
cells/xor2/gf180mcu_fd_sc_mcu7t5v0__xor2_1.behavioral.pp.v
| 1,476 |
module MODULE1( VAR3, VAR4, VAR8, VAR2, VAR6 );
input VAR4, VAR3;
inout VAR2, VAR6;
output VAR8;
VAR5 VAR1(.VAR3(VAR3),.VAR4(VAR4),.VAR8(VAR8),.VAR2(VAR2),.VAR6(VAR6));
VAR5 VAR7(.VAR3(VAR3),.VAR4(VAR4),.VAR8(VAR8),.VAR2(VAR2),.VAR6(VAR6));
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/lpflow_bleeder/sky130_fd_sc_hd__lpflow_bleeder_1.v
| 2,087 |
module MODULE2 (
VAR6,
VAR1 ,
VAR3 ,
VAR7 ,
VAR5
);
input VAR6;
inout VAR1 ;
input VAR3 ;
input VAR7 ;
input VAR5 ;
VAR4 VAR2 (
.VAR6(VAR6),
.VAR1(VAR1),
.VAR3(VAR3),
.VAR7(VAR7),
.VAR5(VAR5)
);
endmodule
module MODULE2 (
VAR6
);
input VAR6;
wire VAR1;
supply0 VAR3;
supply1 VAR7 ;
supply0 VAR5 ;
VAR4 VAR2 (
.VAR6(VAR6)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/and4bb/sky130_fd_sc_hdll__and4bb.behavioral.pp.v
| 2,018 |
module MODULE1 (
VAR15 ,
VAR13 ,
VAR3 ,
VAR8 ,
VAR5 ,
VAR7,
VAR12,
VAR14 ,
VAR16
);
output VAR15 ;
input VAR13 ;
input VAR3 ;
input VAR8 ;
input VAR5 ;
input VAR7;
input VAR12;
input VAR14 ;
input VAR16 ;
wire VAR6 ;
wire VAR4 ;
wire VAR2;
nor VAR10 (VAR6 , VAR13, VAR3 );
and VAR9 (VAR4 , VAR6, VAR8, VAR5 );
VAR17 VAR11 (VAR2, VAR4, VAR7, VAR12);
buf VAR1 (VAR15 , VAR2 );
endmodule
|
apache-2.0
|
Obijuan/open-fpga-verilog-tutorial
|
tutorial/ICESTICK/T25-uart-rx/echo.v
| 1,999 |
module MODULE1(input wire clk, input wire VAR2, output wire VAR4 );
localparam VAR10 = VAR11;
wire VAR9;
wire [7:0] VAR5;
reg VAR8 = 0;
wire ready;
always @(posedge clk)
VAR8 <= 1;
VAR3 #(VAR10)
VAR6 (.clk(clk), .VAR8(VAR8), .VAR2(VAR2), .VAR9(VAR9), .VAR5(VAR5) );
VAR1 #(VAR10)
VAR7 ( .clk(clk), .VAR8(VAR8), .VAR12(VAR9), .VAR5(VAR5), .VAR4(VAR4), .ready(ready) );
endmodule
|
gpl-2.0
|
jhol/butterflylogic
|
rtl/stage.v
| 6,550 |
module MODULE1(
input wire clk,
input wire rst,
input wire VAR17,
input wire [31:0] VAR18, input wire VAR37, input wire [7:0] din, input wire VAR38, input wire [31:0] VAR35, input wire VAR15,
input wire VAR20,
input wire [1:0] VAR11,
output reg VAR19,
output reg VAR13
);
localparam VAR12 = 1'b1;
localparam VAR32 = 1'b0;
reg [27:0] VAR39;
reg [15:0] counter, VAR24;
reg [31:0] VAR22;
reg VAR2;
reg VAR43;
reg VAR34;
wire VAR1 = VAR39[27];
wire VAR36 = VAR39[26];
wire [4:0] VAR4 = VAR39[24:20];
wire [1:0] VAR8 = VAR39[17:16];
wire [15:0] VAR27 = VAR39[15:0];
always @ (posedge clk)
VAR39 <= (VAR38) ? VAR35[27:0] : VAR39;
wire [31:0] VAR41 = (VAR36) ? VAR22 : VAR18;
wire [7:0] dout;
wire [7:0] VAR25;
VAR14 VAR40 (VAR41[ 3: 0], clk, VAR37, din[0], dout[0], VAR25[0]);
VAR14 VAR29 (VAR41[ 7: 4], clk, VAR37, din[1], dout[1], VAR25[1]);
VAR14 VAR16 (VAR41[11: 8], clk, VAR37, din[2], dout[2], VAR25[2]);
VAR14 VAR7 (VAR41[15:12], clk, VAR37, din[3], dout[3], VAR25[3]);
VAR14 VAR23 (VAR41[19:16], clk, VAR37, din[4], dout[4], VAR25[4]);
VAR14 VAR33 (VAR41[23:20], clk, VAR37, din[5], dout[5], VAR25[5]);
VAR14 VAR6 (VAR41[27:24], clk, VAR37, din[6], dout[6], VAR25[6]);
VAR14 VAR5 (VAR41[31:28], clk, VAR37, din[7], dout[7], VAR25[7]);
wire VAR28 = &VAR25[3:0];
wire VAR31 = &VAR25[7:4];
always @(posedge clk)
if (VAR20) VAR2 <= VAR31 | VAR28;
else VAR2 <= VAR31 & VAR28;
wire VAR26 = VAR18[{1'b0,VAR4[3:0]}];
wire VAR3 = VAR18[{1'b1,VAR4[3:0]}];
always @(posedge clk)
if (VAR17) begin
if (VAR20) VAR22 <= {VAR22, VAR3, VAR26};
end
else VAR22 <= {VAR22, (VAR4[4]) ? VAR3 : VAR26};
end
localparam [1:0]
VAR10 = 2'h0,
VAR30 = 2'h1,
VAR21 = 2'h2;
reg [1:0] state, VAR42;
VAR9 state = VAR10;
always @(posedge clk, posedge rst)
if (rst) begin
state <= VAR10;
counter <= 0;
VAR13 <= VAR32;
VAR19 <= VAR32;
end else begin
state <= VAR42;
counter <= VAR24;
VAR13 <= VAR34;
VAR19 <= VAR43;
end
always @*
begin
VAR42 = state;
VAR24 = counter;
VAR34 = VAR32;
VAR43 = VAR32;
case (state) VAR10 :
begin
if (VAR15) VAR42 = VAR30;
end
VAR30 :
begin
VAR24 = VAR27;
if (VAR2 && (VAR11 >= VAR8))
VAR42 = VAR21;
end
VAR21 :
begin
if (VAR17)
begin
VAR24 = counter-1'b1;
if (~|counter)
begin
VAR43 = VAR1;
VAR34 = ~VAR1;
VAR42 = VAR10;
end
end
end
endcase
end
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/lpflow_isobufsrckapwr/sky130_fd_sc_hd__lpflow_isobufsrckapwr.functional.pp.v
| 2,390 |
module MODULE1 (
VAR10 ,
VAR17,
VAR13 ,
VAR3,
VAR8 ,
VAR4 ,
VAR16 ,
VAR19
);
output VAR10 ;
input VAR17;
input VAR13 ;
input VAR3;
input VAR8 ;
input VAR4 ;
input VAR16 ;
input VAR19 ;
wire VAR15 ;
wire VAR2 ;
wire VAR14 ;
wire VAR9;
not VAR11 (VAR15 , VAR17 );
and VAR5 (VAR2 , VAR15, VAR13 );
VAR6 VAR18 (VAR14 , VAR2, VAR8, VAR4, VAR17);
VAR1 VAR7 (VAR9, VAR14, VAR3, VAR4 );
buf VAR12 (VAR10 , VAR9 );
endmodule
|
apache-2.0
|
aquaxis/FPGAMAG18
|
modules/fmrv32im_v1/src/fmrv32im_alu.v
| 3,371 |
module MODULE1
(
input VAR1,
input VAR46,
input VAR44,
input VAR35,
input VAR41,
input VAR38,
input VAR43,
input VAR3,
input VAR7,
input VAR17,
input VAR40,
input VAR8,
input VAR10,
input VAR11,
input VAR32,
input VAR36,
input VAR45,
input VAR13,
input VAR20,
input VAR4,
input VAR30,
input VAR5,
input VAR15,
input VAR49,
input VAR23,
input VAR16,
input VAR9,
input VAR2,
input VAR28,
input VAR42,
input VAR33,
input VAR25,
input VAR14,
input VAR19,
input VAR12,
input [31:0] VAR29,
input [31:0] VAR48,
input [31:0] VAR47,
output reg VAR18,
output reg [31:0] VAR31
);
reg [31:0] VAR50;
always @ begin
VAR26 <= (VAR10)?(VAR29 - VAR50):(VAR29 + VAR50);
VAR24 <= VAR29 << VAR50[4:0];
VAR21 <= ({(VAR20 | VAR40)?VAR29[31]:1'b0, VAR29}) >>> VAR50[4:0];
VAR39 <= (VAR29 == VAR50);
VAR22 <= ((VAR29) < (VAR50));
VAR6 <= (VAR29 < VAR50);
VAR37 <= VAR29 ^ VAR50;
VAR27 <= VAR29 | VAR50;
VAR34 <= VAR29 & VAR50;
end
always @(posedge VAR46) begin
if(!VAR1) begin
VAR31 <= 0;
VAR18 <= 0;
end else begin
VAR31 <= (VAR44 | VAR8 | VAR10 |
VAR2 | VAR28 | VAR42 | VAR33 | VAR25 |
VAR14 | VAR19 | VAR12)?VAR26:
(VAR35 | VAR32)?VAR22:
(VAR41 | VAR36)?VAR6:
(VAR7 | VAR11)?VAR24:
(VAR17 | VAR40 | VAR13 | VAR20)?VAR21:
(VAR38 | VAR45)?VAR37:
(VAR43 | VAR4)?VAR27:
(VAR3 | VAR30)?VAR34:
(VAR5)?VAR39:
(VAR15)?!VAR39:
(VAR23)?!VAR22:
(VAR9)?!VAR6:
(VAR49)?VAR22:
(VAR16)?VAR6:
32'd0;
VAR18 <= VAR44 | VAR8 | VAR10 |
VAR2 | VAR28 | VAR42 | VAR33 | VAR25 |
VAR14 | VAR19 | VAR12 |
VAR35 | VAR32 | VAR41 | VAR36 |
VAR7 | VAR11 |
VAR17 | VAR40 | VAR13 | VAR20 |
VAR38 | VAR45 |
VAR43 | VAR4 |
VAR3 | VAR30 |
VAR5 | VAR15 | VAR23 | VAR9 |
VAR49 | VAR16;
end
end
endmodule
|
mit
|
mbus/mbus
|
releases/mbus_example-v1.2/verilog/mbus_int_ctrl.v
| 2,889 |
module MODULE1
(
input VAR16,
input VAR18,
input VAR8, input VAR7,
input VAR12,
input VAR1,
input VAR4, input VAR15, output reg VAR17,
output reg VAR13,
input VAR5
);
reg VAR19;
reg VAR2;
always @ * begin
if (VAR8 ==VAR6)
VAR19 = 0;
end
else
VAR19 = VAR12;
end
wire VAR10 = ((~VAR19) & (~VAR7));
always @ (negedge VAR16 or negedge VAR18 or negedge VAR10) begin
if (~VAR18)
VAR2 <= 1;
end
else if (~VAR16)
VAR2 <= 0;
else
VAR2 <= 1;
end
wire VAR11 = (VAR18 & VAR16);
wire VAR9 = (VAR18 & (~VAR5));
wire VAR3 = (VAR1 & VAR2);
always @ (posedge VAR3 or negedge VAR11) begin
if (~VAR11)
VAR17 <= 0;
end
else begin
case ({VAR4, VAR15})
{VAR6, VAR6}: begin VAR17 <= 1; end
{VAR14, VAR6}: begin if (VAR2) VAR17 <= 1; end
default: begin end
endcase
end
end
always @ (posedge VAR3 or negedge VAR9) begin
if (~VAR9)
VAR13 <= 0;
end
else begin
case ({VAR4, VAR15})
{VAR6, VAR6}: begin VAR13 <= 1; end
{VAR14, VAR6}: begin if (VAR2) VAR13 <= 1; end
default: begin end
endcase
end
end
endmodule
|
apache-2.0
|
arthurafarias/UFCG-EE-LASD-2014.1-Experiments
|
preparacao-3.alpha/Output_LiquidCrystalDisplay_Controller.v
| 1,503 |
module MODULE1 ( VAR10,VAR7,
VAR14,VAR15,
VAR3,VAR6,
VAR8,
VAR12,
VAR2,
VAR1 );
parameter VAR13 = 16;
input [7:0] VAR10;
input VAR7,VAR14;
input VAR3,VAR6;
output reg VAR15;
output [7:0] VAR8;
output reg VAR2;
output VAR12;
output VAR1;
reg [4:0] VAR11;
reg [1:0] VAR4;
reg VAR5,VAR9;
assign VAR8 = VAR10;
assign VAR12 = 1'b0;
assign VAR1 = VAR7;
always@(posedge VAR3 or negedge VAR6)
begin
if(!VAR6)
begin
VAR15 <= 1'b0;
VAR2 <= 1'b0;
VAR5<= 1'b0;
VAR9 <= 1'b0;
VAR11 <= 0;
VAR4 <= 0;
end
else
begin
VAR5<= VAR14;
if({VAR5,VAR14}==2'b01)
begin
VAR9 <= 1'b1;
VAR15 <= 1'b0;
end
if(VAR9)
begin
case(VAR4)
0: VAR4 <= 1; 1: begin
VAR2 <= 1'b1;
VAR4 <= 2;
end
2: begin
if(VAR11<VAR13)
VAR11 <= VAR11+1;
end
else
VAR4 <= 3;
end
3: begin
VAR2 <= 1'b0;
VAR9 <= 1'b0;
VAR15 <= 1'b1;
VAR11 <= 0;
VAR4 <= 0;
end
endcase
end
end
end
endmodule
|
gpl-2.0
|
tommythorn/yari
|
shared/rtl/altera/CycloneIII/dpram.v
| 4,435 |
module MODULE1 (VAR38,
VAR27, VAR26, VAR62, VAR29, VAR76,
VAR15, VAR3, VAR16, VAR51, VAR43);
parameter VAR37 = 32;
parameter VAR50 = 7;
parameter VAR42 = "VAR73";
input [VAR50-1:0] VAR27;
input [VAR50-1:0] VAR15;
input [VAR37/8-1:0] VAR26;
input [VAR37/8-1:0] VAR3;
input VAR38;
input [VAR37-1:0] VAR62;
input [VAR37-1:0] VAR16;
input VAR29;
input VAR51;
output [VAR37-1:0] VAR76;
output [VAR37-1:0] VAR43;
wire [VAR37-1:0] VAR18;
wire [VAR37-1:0] VAR25;
wire [VAR37-1:0] VAR76 = VAR18[VAR37-1:0];
wire [VAR37-1:0] VAR43 = VAR25[VAR37-1:0];
VAR56 VAR52 (
.VAR29 (VAR29),
.VAR10 (VAR38),
.VAR51 (VAR51),
.VAR26 (VAR26),
.VAR3 (VAR3),
.VAR27 (VAR27),
.VAR15 (VAR15),
.VAR22 (VAR62),
.VAR31 (VAR16),
.VAR58 (VAR18),
.VAR59 (VAR25),
.VAR63 (1'b0),
.VAR8 (1'b0),
.VAR36 (1'b0),
.VAR53 (1'b0),
.VAR67 (1'b1),
.VAR61 (1'b1),
.VAR7 (1'b1),
.VAR12 (1'b1),
.VAR24 (1'b1),
.VAR47 (),
.VAR9 (1'b1),
.VAR5 (1'b1));
VAR52.VAR69 = "VAR34",
VAR52.VAR78 = "VAR34",
VAR52.VAR77 = "VAR57",
VAR52.VAR30 = "VAR34",
VAR52.VAR2 = "VAR34",
VAR52.VAR49 = "VAR57",
VAR52.VAR75 = 8,
VAR52.VAR72 = "VAR34",
VAR52.VAR23 = "VAR34",
VAR52.VAR71 = "VAR57",
VAR52.VAR45 = {VAR42,".VAR20"},
VAR52.VAR40 = "VAR44 VAR48",
VAR52.VAR28 = "VAR56",
VAR52.VAR70 = 1 << VAR50,
VAR52.VAR6 = 1 << VAR50,
VAR52.VAR21 = "VAR11",
VAR52.VAR46 = "VAR34",
VAR52.VAR4 = "VAR34",
VAR52.VAR65 = "VAR64",
VAR52.VAR41 = "VAR64",
VAR52.VAR39 = "VAR68",
VAR52.VAR1 = "VAR32",
VAR52.VAR66 = "VAR35", VAR52.VAR17 = VAR50,
VAR52.VAR13 = VAR50,
VAR52.VAR74 = VAR37,
VAR52.VAR33 = VAR37,
VAR52.VAR54 = VAR37/8,
VAR52.VAR19 = VAR37/8,
VAR52.VAR55 = "VAR34",
VAR52.VAR60 = "VAR34",
VAR52.VAR14 = "VAR57";
endmodule
|
gpl-2.0
|
chenm001/connectal
|
verilog/LinkInverter.v
| 1,981 |
module MODULE1(VAR11,
VAR9,
VAR3,
VAR10,
VAR6,
VAR2,
VAR7,
VAR4,
VAR8,
VAR5
);
parameter VAR1 = 1;
input VAR11;
input VAR9;
output [VAR1-1 : 0] VAR2;
input [VAR1-1 : 0] VAR3;
input VAR7;
input VAR10;
output VAR4;
output VAR6;
output VAR8;
output VAR5;
assign VAR2 = VAR3;
assign VAR4 = 1;
assign VAR6 = 1;
assign VAR8 = VAR7;
assign VAR5 = VAR10;
endmodule
|
mit
|
Jafet95/proy_3_grupo_2_sem_1_2016
|
timing_generator_VGA.v
| 3,960 |
module MODULE1
(
input wire clk,reset,
output wire VAR20,VAR6,VAR21,VAR24,
output wire [9:0] VAR8, VAR17
);
localparam VAR5 = 640;localparam VAR15 = 48;localparam VAR27 = 16;localparam VAR2 = 96;localparam VAR4 = 480;localparam VAR26 = 10;localparam VAR22 = 33;localparam VAR23 = 2;
reg VAR11, VAR12;
reg[9:0] VAR10, VAR9;
reg[9:0] VAR19, VAR16;
reg VAR14, VAR13;
wire VAR18, VAR25;
wire VAR3, VAR1;
wire VAR7;
always @(posedge clk, posedge reset)
begin
if(reset)
begin
VAR10 <= 0;
VAR19 <= 0;
VAR14 <= 1'b0;
VAR13 <= 1'b0;
end
else
begin
VAR10 <= VAR9;
VAR19 <= VAR16;
VAR14 <= VAR18;
VAR13 <= VAR25;
end
end
always@(posedge clk, posedge reset)
begin
if(reset)
begin
VAR11 <= 0;
VAR12 <= 0;
end
else
begin
if (VAR11 == 1'b1)
begin
VAR11 <= 0;
VAR12 <= ~VAR12;
end
else
VAR11 <= VAR11 + 1'b1;
end
end
assign VAR7 = VAR12;
assign VAR3 = (VAR10 == (VAR5+VAR15+VAR27+VAR2-1));
assign VAR1 = (VAR19 == (VAR4+VAR26+VAR22+VAR23-1));
always@(negedge VAR7)
begin
if(VAR3)
VAR9 = 0;
end
else
VAR9 = VAR10 + 1'b1;
assign VAR18 = (VAR10 >= (VAR5+VAR27)&&
VAR10 <=(VAR5+VAR27+VAR2-1));
assign VAR25 = (VAR19 >= (VAR4+VAR22)&&
VAR19 <=(VAR4+VAR22+VAR23-1));
assign VAR21 = (VAR10 < VAR5) && (VAR19 < VAR4); assign VAR20 = ~VAR14;
assign VAR6 = ~VAR13;
assign VAR8 = VAR10; assign VAR17 = VAR19; assign VAR24 = VAR7;
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/a211o/sky130_fd_sc_lp__a211o_m.v
| 2,345 |
module MODULE2 (
VAR1 ,
VAR4 ,
VAR9 ,
VAR10 ,
VAR6 ,
VAR7,
VAR5,
VAR3 ,
VAR8
);
output VAR1 ;
input VAR4 ;
input VAR9 ;
input VAR10 ;
input VAR6 ;
input VAR7;
input VAR5;
input VAR3 ;
input VAR8 ;
VAR11 VAR2 (
.VAR1(VAR1),
.VAR4(VAR4),
.VAR9(VAR9),
.VAR10(VAR10),
.VAR6(VAR6),
.VAR7(VAR7),
.VAR5(VAR5),
.VAR3(VAR3),
.VAR8(VAR8)
);
endmodule
module MODULE2 (
VAR1 ,
VAR4,
VAR9,
VAR10,
VAR6
);
output VAR1 ;
input VAR4;
input VAR9;
input VAR10;
input VAR6;
supply1 VAR7;
supply0 VAR5;
supply1 VAR3 ;
supply0 VAR8 ;
VAR11 VAR2 (
.VAR1(VAR1),
.VAR4(VAR4),
.VAR9(VAR9),
.VAR10(VAR10),
.VAR6(VAR6)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/sedfxtp/sky130_fd_sc_ls__sedfxtp_4.v
| 2,466 |
module MODULE1 (
VAR7 ,
VAR11 ,
VAR3 ,
VAR12 ,
VAR1 ,
VAR4 ,
VAR8,
VAR2,
VAR9 ,
VAR6
);
output VAR7 ;
input VAR11 ;
input VAR3 ;
input VAR12 ;
input VAR1 ;
input VAR4 ;
input VAR8;
input VAR2;
input VAR9 ;
input VAR6 ;
VAR10 VAR5 (
.VAR7(VAR7),
.VAR11(VAR11),
.VAR3(VAR3),
.VAR12(VAR12),
.VAR1(VAR1),
.VAR4(VAR4),
.VAR8(VAR8),
.VAR2(VAR2),
.VAR9(VAR9),
.VAR6(VAR6)
);
endmodule
module MODULE1 (
VAR7 ,
VAR11,
VAR3 ,
VAR12 ,
VAR1,
VAR4
);
output VAR7 ;
input VAR11;
input VAR3 ;
input VAR12 ;
input VAR1;
input VAR4;
supply1 VAR8;
supply0 VAR2;
supply1 VAR9 ;
supply0 VAR6 ;
VAR10 VAR5 (
.VAR7(VAR7),
.VAR11(VAR11),
.VAR3(VAR3),
.VAR12(VAR12),
.VAR1(VAR1),
.VAR4(VAR4)
);
endmodule
|
apache-2.0
|
GSejas/Dise-o-ASIC-FPGA-FPU
|
Literature_KOA/Design-of-various-multiplier-Array-Booth-Wallace--master/Hybrid multiplier/Hybrid multiplier.v
| 6,312 |
module MODULE4(VAR102,VAR59,VAR74);
input [7:0] VAR102,VAR59;
output [15:0] VAR74;
wire [7:0] VAR25,VAR39,VAR47,VAR4,VAR65,VAR16,VAR49,VAR45;
wire [9:0] VAR75,VAR52,VAR76,VAR110;
wire [3:0] VAR23,VAR71,VAR107;
wire [6:0] VAR21,VAR111;
wire [12:0] VAR108,VAR14;
wire [6:0] VAR48;
wire [11:0] VAR63;
wire [3:0] VAR51,VAR55;
xor VAR104(VAR55[0],VAR23[0],VAR71[0]);
and VAR82(VAR51[0],VAR55[0],VAR107[0]);
xor VAR10(VAR55[1],VAR23[1],VAR71[1]);
and VAR90(VAR51[1],VAR55[1],VAR107[1]);
xor VAR87(VAR55[2],VAR23[2],VAR71[2]);
and VAR73(VAR51[2],VAR55[2],VAR107[2]);
xor VAR66(VAR55[3],VAR23[3],VAR71[3]);
and VAR19(VAR51[3],VAR55[3],VAR107[3]);
MODULE2 MODULE31(VAR23[0],VAR71[0],VAR107[0],VAR59[1],VAR59[0],1'b0);
MODULE2 MODULE25(VAR23[1],VAR71[1],VAR107[1],VAR59[3],VAR59[2],VAR59[1]);
MODULE2 MODULE59(VAR23[2],VAR71[2],VAR107[2],VAR59[5],VAR59[4],VAR59[3]);
MODULE2 MODULE5(VAR23[3],VAR71[3],VAR107[3],VAR59[7],VAR59[6],VAR59[5]);
MODULE1 MODULE35(VAR102[0],VAR107[0],VAR51[0],VAR23[0],VAR71[0],VAR107[0],VAR75[0],VAR25[0],VAR65[0]);
MODULE1 MODULE52(VAR102[1],VAR25[0],VAR65[0],VAR23[0],VAR71[0],VAR107[0],VAR75[1],VAR25[1],VAR65[1]);
MODULE1 MODULE20(VAR102[2],VAR25[1],VAR65[1],VAR23[0],VAR71[0],VAR107[0],VAR75[2],VAR25[2],VAR65[2]);
MODULE1 MODULE30(VAR102[3],VAR25[2],VAR65[2],VAR23[0],VAR71[0],VAR107[0],VAR75[3],VAR25[3],VAR65[3]);
MODULE1 MODULE39(VAR102[4],VAR25[3],VAR65[3],VAR23[0],VAR71[0],VAR107[0],VAR75[4],VAR25[4],VAR65[4]);
MODULE1 MODULE10(VAR102[5],VAR25[4],VAR65[4],VAR23[0],VAR71[0],VAR107[0],VAR75[5],VAR25[5],VAR65[5]);
MODULE1 MODULE48(VAR102[6],VAR25[5],VAR65[5],VAR23[0],VAR71[0],VAR107[0],VAR75[6],VAR25[6],VAR65[6]);
MODULE1 MODULE17(VAR102[7],VAR25[6],VAR65[6],VAR23[0],VAR71[0],VAR107[0],VAR75[7],VAR25[7],VAR65[7]);
xor VAR62(VAR63[0],VAR25[7],VAR65[7]);
and VAR91(VAR63[1],VAR71[0],VAR25[7]);
and VAR99(VAR63[2],VAR23[0],VAR63[0]);
or o1(VAR75[8],VAR63[1],VAR63[2]);
not VAR1(VAR75[9],VAR75[8]);
assign VAR74[0]=VAR75[0];
MODULE1 MODULE26(VAR102[0],VAR107[1],VAR51[1],VAR23[1],VAR71[1],VAR107[1],VAR52[0],VAR39[0],VAR16[0]);
MODULE1 MODULE7(VAR102[1],VAR39[0],VAR16[0],VAR23[1],VAR71[1],VAR107[1],VAR52[1],VAR39[1],VAR16[1]);
MODULE1 MODULE14(VAR102[2],VAR39[1],VAR16[1],VAR23[1],VAR71[1],VAR107[1],VAR52[2],VAR39[2],VAR16[2]);
MODULE1 MODULE58(VAR102[3],VAR39[2],VAR16[2],VAR23[1],VAR71[1],VAR107[1],VAR52[3],VAR39[3],VAR16[3]);
MODULE1 MODULE61(VAR102[4],VAR39[3],VAR16[3],VAR23[1],VAR71[1],VAR107[1],VAR52[4],VAR39[4],VAR16[4]);
MODULE1 MODULE2(VAR102[5],VAR39[4],VAR16[4],VAR23[1],VAR71[1],VAR107[1],VAR52[5],VAR39[5],VAR16[5]);
MODULE1 MODULE47(VAR102[6],VAR39[5],VAR16[5],VAR23[1],VAR71[1],VAR107[1],VAR52[6],VAR39[6],VAR16[6]);
MODULE1 MODULE36(VAR102[7],VAR39[6],VAR16[6],VAR23[1],VAR71[1],VAR107[1],VAR52[7],VAR39[7],VAR16[7]);
xor VAR86(VAR63[3],VAR39[7],VAR16[7]);
and VAR106(VAR63[4],VAR71[1],VAR39[7]);
and VAR94(VAR63[5],VAR23[1],VAR63[3]);
or o2(VAR52[8],VAR63[4],VAR63[5]);
not VAR97(VAR52[9],VAR52[8]);
assign VAR74[1]=VAR75[1];
MODULE1 MODULE29(VAR102[0],VAR107[2],VAR51[2],VAR23[2],VAR71[2],VAR107[2],VAR76[0],VAR47[0],VAR49[0]);
MODULE1 MODULE4(VAR102[1],VAR47[0],VAR49[0],VAR23[2],VAR71[2],VAR107[2],VAR76[1],VAR47[1],VAR49[1]);
MODULE1 MODULE57(VAR102[2],VAR47[1],VAR49[1],VAR23[2],VAR71[2],VAR107[2],VAR76[2],VAR47[2],VAR49[2]);
MODULE1 MODULE3(VAR102[3],VAR47[2],VAR49[2],VAR23[2],VAR71[2],VAR107[2],VAR76[3],VAR47[3],VAR49[3]);
MODULE1 MODULE54(VAR102[4],VAR47[3],VAR49[3],VAR23[2],VAR71[2],VAR107[2],VAR76[4],VAR47[4],VAR49[4]);
MODULE1 MODULE28(VAR102[5],VAR47[4],VAR49[4],VAR23[2],VAR71[2],VAR107[2],VAR76[5],VAR47[5],VAR49[5]);
MODULE1 MODULE56(VAR102[6],VAR47[5],VAR49[5],VAR23[2],VAR71[2],VAR107[2],VAR76[6],VAR47[6],VAR49[6]);
MODULE1 MODULE37(VAR102[7],VAR47[6],VAR49[6],VAR23[2],VAR71[2],VAR107[2],VAR76[7],VAR47[7],VAR49[7]);
xor VAR20(VAR63[6],VAR47[7],VAR49[7]);
and VAR84(VAR63[7],VAR71[2],VAR47[7]);
and VAR40(VAR63[8],VAR23[2],VAR63[6]);
or o3(VAR76[8],VAR63[7],VAR63[8]);
not VAR105(VAR76[9],VAR76[8]);
MODULE1 MODULE27(VAR102[0],VAR107[3],VAR51[3],VAR23[3],VAR71[3],VAR107[3],VAR110[0],VAR4[0],VAR45[0]);
MODULE1 MODULE6(VAR102[1],VAR4[0],VAR45[0],VAR23[3],VAR71[3],VAR107[3],VAR110[1],VAR4[1],VAR45[1]);
MODULE1 MODULE51(VAR102[2],VAR4[1],VAR45[1],VAR23[3],VAR71[3],VAR107[3],VAR110[2],VAR4[2],VAR45[2]);
MODULE1 MODULE45(VAR102[3],VAR4[2],VAR45[2],VAR23[3],VAR71[3],VAR107[3],VAR110[3],VAR4[3],VAR45[3]);
MODULE1 MODULE34(VAR102[4],VAR4[3],VAR45[3],VAR23[3],VAR71[3],VAR107[3],VAR110[4],VAR4[4],VAR45[4]);
MODULE1 MODULE19(VAR102[5],VAR4[4],VAR45[4],VAR23[3],VAR71[3],VAR107[3],VAR110[5],VAR4[5],VAR45[5]);
MODULE1 MODULE23(VAR102[6],VAR4[5],VAR45[5],VAR23[3],VAR71[3],VAR107[3],VAR110[6],VAR4[6],VAR45[6]);
MODULE1 MODULE16(VAR102[7],VAR4[6],VAR45[6],VAR23[3],VAR71[3],VAR107[3],VAR110[7],VAR4[7],VAR45[7]);
xor VAR96(VAR63[9],VAR4[7],VAR45[7]);
and VAR103(VAR63[10],VAR71[3],VAR4[7]);
and VAR15(VAR63[11],VAR23[3],VAR63[9]);
or o4(VAR110[8],VAR63[10],VAR63[11]);
not VAR109(VAR110[9],VAR110[8]);
MODULE8 MODULE60(VAR75[2],VAR52[0],VAR108[0],VAR14[0]);
MODULE8 MODULE41(VAR75[3],VAR52[1],VAR108[1],VAR14[1]);
MODULE6 MODULE9(VAR75[4],VAR52[2],VAR76[0],VAR108[2],VAR14[2]);
MODULE6 MODULE44(VAR75[5],VAR52[3],VAR76[1],VAR108[3],VAR14[3]);
MODULE6 MODULE21(VAR75[6],VAR52[4],VAR76[2],VAR21[0],VAR111[0]);
MODULE6 MODULE13(VAR75[7],VAR52[5],VAR76[3],VAR21[1],VAR111[1]);
MODULE6 MODULE46(VAR75[8],VAR52[6],VAR76[4],VAR21[2],VAR111[2]);
MODULE6 MODULE40(VAR75[8],VAR52[7],VAR76[5],VAR21[3],VAR111[3]);
MODULE6 MODULE11(VAR75[8],VAR52[8],VAR76[6],VAR21[4],VAR111[4]);
MODULE6 MODULE12(VAR75[9],VAR52[9],VAR76[7],VAR21[5],VAR111[5]);
MODULE6 MODULE1(VAR110[6],VAR76[8],1'b1,VAR21[6],VAR111[6]);
assign VAR74[2]=VAR14[0];
MODULE8 MODULE53(VAR110[0],VAR111[0],VAR108[4],VAR14[4]);
MODULE6 MODULE43(VAR110[1],VAR111[1],VAR21[0],VAR108[5],VAR14[5]);
MODULE6 MODULE15(VAR110[2],VAR111[2],VAR21[1],VAR108[6],VAR14[6]);
MODULE6 MODULE38(VAR110[3],VAR111[3],VAR21[2],VAR108[7],VAR14[7]);
MODULE6 MODULE32(VAR110[4],VAR111[4],VAR21[3],VAR108[8],VAR14[8]);
MODULE6 MODULE18(VAR110[5],VAR111[5],VAR21[4],VAR108[9],VAR14[9]);
MODULE8 MODULE8(VAR111[6],VAR21[5],VAR108[10],VAR14[10]);
MODULE6 MODULE49(VAR110[7],VAR76[9],VAR21[6],VAR108[11],VAR14[11]);
MODULE8 MODULE42(VAR110[8],1'b1,VAR108[12],VAR14[12]);
MODULE7 MODULE55(VAR74[6:3],VAR48[0],1'b0,VAR14[4:1],VAR108[3:0]);
MODULE7 MODULE33(VAR74[10:7],VAR48[1],VAR48[0],VAR14[8:5],VAR108[7:4]);
MODULE7 MODULE24(VAR74[14:11],VAR48[2],VAR48[1],VAR14[12:9],VAR108[11:8]);
MODULE3 MODULE22(VAR108[12],VAR110[9],VAR48[2],VAR48[3],VAR74[15]);
endmodule
module MODULE2(VAR23,VAR71,VAR107,VAR50,VAR5,VAR98);
input VAR50,VAR5,VAR98;
output VAR23,VAR71,VAR107;
wire [1:0]VAR47;
xor VAR62(VAR23,VAR98,VAR5);
xor VAR86(VAR47[1],VAR50,VAR5);
not VAR1(VAR47[0],VAR23);
and VAR91(VAR71,VAR47[0],VAR47[1]);
assign VAR107=VAR50;
endmodule
module MODULE1(VAR62,VAR70,VAR86,VAR23,VAR71,VAR107,VAR74,VAR25,VAR26);
input VAR62,VAR70,VAR86,VAR107,VAR23,VAR71;
output VAR74,VAR25,VAR26;
wire [2:0] VAR47;
xor VAR28(VAR25,VAR62,VAR107);
and VAR91(VAR47[1],VAR25,VAR23);
and VAR31(VAR47[0],VAR70,VAR71);
or o0(VAR47[2],VAR47[1],VAR47[0]);
xor VAR64(VAR74,VAR47[2],VAR86);
and VAR99(VAR26,VAR47[2],VAR86);
endmodule
module MODULE8(VAR79,VAR67,VAR8,VAR6);
input VAR79,VAR67;
output VAR8,VAR6;
xor VAR62(VAR6,VAR79,VAR67);
and VAR91(VAR8,VAR79,VAR67);
endmodule
module MODULE6(VAR79,VAR67,VAR8,VAR93,VAR3);
input VAR79,VAR67,VAR8;
output VAR93,VAR3;
wire VAR102,VAR59;
xor VAR62(VAR102,VAR79,VAR67);
xnor VAR86(VAR59,VAR79,VAR67);
MODULE5 MODULE62(VAR102,VAR59,VAR8,VAR3);
MODULE5 MODULE50(VAR79,VAR8,VAR102,VAR93);
endmodule
module MODULE5(VAR68,VAR36,VAR6,VAR16);
input VAR68,VAR36,VAR6;
output VAR16;
wire VAR100,VAR74,VAR49;
and VAR91(VAR100,VAR6,VAR36);
not VAR9(VAR74,VAR6);
and VAR99(VAR49,VAR74,VAR68);
or VAR106(VAR16,VAR100,VAR49);
endmodule
module MODULE3(VAR79,VAR67,VAR8,VAR93,VAR3);
input VAR79,VAR67,VAR8;
output VAR93,VAR3;
wire VAR102,VAR59,VAR55;
xor VAR62(VAR102,VAR79,VAR67);
xor VAR86(VAR3,VAR102,VAR8);
and VAR91(VAR59,VAR79,VAR67);
and VAR99(VAR55,VAR102,VAR8);
or o1(VAR93,VAR59,VAR55);
endmodule
module MODULE7(VAR65,VAR55,VAR16,VAR79,VAR67);
input [3:0] VAR79,VAR67;
input VAR16;
wire [3:0] VAR74,VAR42,VAR8;
wire [9:0]VAR63;
output [3:0]VAR65;
output VAR55;
xor (VAR74[0],VAR79[0],VAR67[0]);
and (VAR42[0],VAR79[0],VAR67[0]);
xor (VAR74[1],VAR79[1],VAR67[1]);
and (VAR42[1],VAR79[1],VAR67[1]);
xor (VAR74[2],VAR79[2],VAR67[2]);
and (VAR42[2],VAR79[2],VAR67[2]);
xor (VAR74[3],VAR79[3],VAR67[3]);
and (VAR42[3],VAR79[3],VAR67[3]);
and (VAR63[0],VAR16,VAR74[0]);
or (VAR8[0],VAR63[0],VAR42[0]);
and (VAR63[1],VAR42[0],VAR74[1]);
and (VAR63[2],VAR16,VAR74[0],VAR74[1]);
or (VAR8[1],VAR42[1],VAR63[1],VAR63[2]);
and (VAR63[3],VAR42[1],VAR74[2]);
and (VAR63[4],VAR42[0],VAR74[1],VAR74[2]);
and (VAR63[5],VAR16,VAR74[1],VAR74[2],VAR74[0]);
or (VAR8[2],VAR42[2],VAR63[3],VAR63[4],VAR63[5]);
and (VAR63[6],VAR42[2],VAR74[3]);
and (VAR63[7],VAR42[1],VAR74[2],VAR74[3]);
and (VAR63[8],VAR42[0],VAR74[1],VAR74[2],VAR74[3]);
and (VAR63[9],VAR16,VAR74[0],VAR74[1],VAR74[2],VAR74[3]);
or (VAR8[3],VAR42[3],VAR63[6],VAR63[7],VAR63[8],VAR63[9]);
xor (VAR65[0],VAR74[0],VAR16);
xor (VAR65[1],VAR74[1],VAR8[0]);
xor (VAR65[2],VAR74[2],VAR8[1]);
xor (VAR65[3],VAR74[3],VAR8[2]);
assign VAR55=VAR8[3];
endmodule
|
gpl-3.0
|
Given-Jiang/Dilation_Operation_Altera_OpenCL_DE1-SoC
|
bin_Dilation_Operation/system/synthesis/system.v
| 40,631 |
module MODULE1 (
input wire VAR147, input wire VAR201, output wire VAR217, output wire [14:0] VAR86, output wire [2:0] VAR200, output wire VAR195, output wire VAR105, output wire VAR41, output wire VAR211, output wire VAR186, output wire VAR143, output wire VAR42, output wire VAR233, inout wire [31:0] VAR157, inout wire [3:0] VAR103, inout wire [3:0] VAR160, output wire VAR19, output wire [3:0] VAR66, input wire VAR235, output wire VAR164, output wire VAR77, output wire VAR72, output wire VAR182, output wire VAR109, input wire VAR145, inout wire VAR85, output wire VAR166, input wire VAR7, output wire VAR203, input wire VAR206, input wire VAR128, input wire VAR74, input wire VAR168, inout wire VAR81, inout wire VAR150, inout wire VAR88, output wire VAR213, inout wire VAR112, inout wire VAR196, input wire VAR194, output wire VAR80, inout wire VAR230, inout wire VAR25, inout wire VAR118 );
wire VAR184; wire VAR18; wire VAR124; wire VAR110; wire [7:0] VAR71; wire [63:0] VAR226; wire VAR161; wire VAR167; wire [3:0] VAR90; wire VAR107; wire VAR192; wire [63:0] VAR100; wire [3:0] VAR79; wire VAR34; wire VAR45; wire [63:0] VAR141; wire VAR130; wire [7:0] VAR188; wire VAR151; wire [7:0] VAR227; wire [63:0] VAR29; wire VAR115; wire VAR59; wire [3:0] VAR236; wire VAR202; wire VAR51; wire [4:0] VAR212; wire [255:0] VAR169; wire [29:0] VAR199; wire VAR149; wire VAR94; wire [255:0] VAR57; wire VAR209; wire [31:0] VAR23; wire VAR47; wire [4:0] VAR17; wire [255:0] VAR222; wire [29:0] VAR176; wire VAR165; wire VAR156; wire [255:0] VAR205; wire VAR155; wire VAR61; wire [31:0] VAR68; wire [0:0] VAR133; wire VAR239; wire [29:0] VAR204; wire [63:0] VAR214; wire VAR22; wire VAR231; wire [63:0] VAR44; wire VAR175; wire [7:0] VAR210; wire VAR171; wire VAR28; wire [63:0] VAR55; wire [3:0] VAR69; wire VAR5; wire VAR10; wire [63:0] VAR152; wire VAR232; wire [7:0] VAR187; wire VAR65; wire [0:0] VAR89;
VAR223 VAR12 (
.VAR39 (VAR147), .VAR16 (VAR201), .VAR178 (VAR147), .VAR217 (VAR184), .VAR92 (VAR124), .VAR78 (VAR18), .VAR21 (VAR47), .VAR193 (VAR205), .VAR170 (VAR61), .VAR31 (VAR17), .VAR127 (VAR222), .VAR9 (VAR176), .VAR11 (VAR165), .VAR142 (VAR156), .VAR40 (VAR68), .VAR87 (VAR155), .VAR82 (), .VAR177 (VAR217), .VAR86 (VAR86), .VAR200 (VAR200), .VAR195 (VAR195), .VAR105 (VAR105), .VAR41 (VAR41), .VAR211 (VAR211), .VAR186 (VAR186), .VAR143 (VAR143), .VAR42 (VAR42), .VAR233 (VAR233), .VAR157 (VAR157), .VAR103 (VAR103), .VAR160 (VAR160), .VAR19 (VAR19), .VAR66 (VAR66), .VAR235 (VAR235), .VAR164 (VAR164), .VAR77 (VAR77), .VAR72 (VAR72), .VAR182 (VAR182), .VAR109 (VAR109), .VAR145 (VAR145), .VAR85 (VAR85), .VAR166 (VAR166), .VAR7 (VAR7), .VAR203 (VAR203), .VAR206 (VAR206), .VAR128 (VAR128), .VAR74 (VAR74), .VAR168 (VAR168), .VAR81 (VAR81), .VAR150 (VAR150), .VAR88 (VAR88), .VAR213 (VAR213), .VAR112 (VAR112), .VAR196 (VAR196), .VAR194 (VAR194), .VAR80 (VAR80), .VAR230 (VAR230), .VAR25 (VAR25), .VAR118 (VAR118), .VAR98 (), .VAR218 (VAR89), .VAR35 (VAR239), .VAR119 (VAR44), .VAR234 (VAR171), .VAR220 (VAR133), .VAR172 (VAR214), .VAR189 (VAR204), .VAR70 (VAR22), .VAR158 (VAR231), .VAR64 (VAR210), .VAR207 (VAR175), .VAR67 () );
VAR126 VAR134 (
.VAR15 (VAR184), .VAR16 (VAR124), .VAR190 (VAR18), .VAR114 (VAR45), .VAR224 (VAR34), .VAR106 (VAR79), .VAR173 (VAR100), .VAR148 (VAR188), .VAR240 (VAR192), .VAR183 (VAR141), .VAR146 (VAR130), .VAR101 (VAR65), .VAR93 (VAR199), .VAR198 (VAR94), .VAR33 (VAR149), .VAR219 (VAR212), .VAR96 (VAR169), .VAR95 (VAR23), .VAR108 (VAR57), .VAR117 (VAR51), .VAR180 (VAR209) );
VAR237 #(
.VAR131 (4),
.VAR123 (64),
.VAR76 (0)
) VAR49 (
.clk (VAR184), .VAR116 (VAR124), .VAR97 (VAR5), .VAR174 (VAR69), .VAR215 (VAR187), .VAR137 (VAR55), .VAR111 (VAR152), .VAR48 (VAR232), .VAR154 (VAR28), .VAR139 (VAR10), .VAR153 (VAR115), .VAR54 (VAR236), .VAR53 (VAR227), .VAR238 (VAR29), .VAR129 (VAR59), .VAR181 (VAR202), .VAR229 (VAR151), .VAR32 (VAR167), .VAR37 (VAR161), .VAR58 (VAR90), .VAR1 (VAR226), .VAR62 (VAR71), .VAR225 (VAR107), .VAR13 (VAR110) );
VAR6 #(
.VAR30 (4),
.VAR60 (4),
.VAR123 (64),
.VAR76 (0),
.VAR56 (32'b00000000000000000000000000000000)
) VAR52 (
.clk (VAR184), .VAR116 (VAR124), .VAR73 (VAR45), .VAR208 (VAR34), .VAR20 (VAR79), .VAR102 (VAR188), .VAR4 (VAR100), .VAR24 (VAR141), .VAR144 (VAR130), .VAR122 (VAR192), .VAR129 (VAR167), .VAR153 (VAR161), .VAR54 (VAR90), .VAR238 (VAR226), .VAR53 (VAR71), .VAR181 (VAR107), .VAR229 (VAR110), .VAR32 (VAR59), .VAR37 (VAR115), .VAR58 (VAR236), .VAR1 (VAR29), .VAR62 (VAR227), .VAR225 (VAR202), .VAR13 (VAR151) );
VAR140 VAR63 (
.VAR184 (VAR184), .VAR221 (~VAR124), .VAR50 (VAR199), .VAR75 (VAR51), .VAR43 (VAR212), .VAR14 (VAR23), .VAR132 (VAR94), .VAR3 (VAR57), .VAR138 (VAR209), .VAR84 (VAR149), .VAR8 (VAR169), .VAR46 (VAR176), .VAR120 (VAR165), .VAR163 (VAR156), .VAR136 (VAR205), .VAR36 (VAR222), .VAR91 (VAR17), .VAR125 (VAR68), .VAR26 (VAR61), .VAR2 (VAR47), .VAR191 (VAR155) );
VAR113 VAR185 (
.VAR184 (VAR184), .VAR27 (~VAR124), .VAR204 (VAR204), .VAR239 (VAR239), .VAR133 (VAR133), .VAR210 (VAR210), .VAR231 (VAR231), .VAR44 (VAR44), .VAR171 (VAR171), .VAR22 (VAR22), .VAR214 (VAR214), .VAR175 (VAR175), .VAR121 (VAR69), .VAR162 (VAR5), .VAR216 (VAR10), .VAR104 (VAR152), .VAR179 (VAR55), .VAR197 (VAR187), .VAR38 (VAR232), .VAR99 (VAR28) );
VAR228 VAR135 (
.clk (VAR184), .reset (~VAR124), .VAR83 (VAR65), .VAR159 (VAR89) );
endmodule
|
mit
|
CospanDesign/nysa-verilog
|
verilog/wishbone/slave/wb_fpga_nes/rtl/ppu/ppu.v
| 12,813 |
module MODULE1
(
input VAR138, input VAR87, input [ 2:0] VAR64, input VAR134, input VAR100, input [ 7:0] VAR143, input [ 7:0] VAR115, output [ 7:0] VAR57,
output [9:0] VAR121, output [9:0] VAR116, output VAR119, output VAR109, output [ 2:0] VAR131, output [ 2:0] VAR17, output [ 1:0] VAR161,
output VAR37, output [13:0] VAR41, output [ 7:0] VAR123, output VAR86 );
wire [9:0] VAR54;
wire [9:0] VAR2;
wire [9:0] VAR129;
wire VAR89;
wire VAR36;
wire [5:0] VAR60;
wire [9:0] VAR146;
wire [9:0] VAR125;
wire [9:0] VAR130;
wire VAR158;
wire VAR84;
VAR101 VAR85(
.VAR138 (VAR138 ),
.VAR87 (VAR87 ),
.VAR119 (VAR119 ),
.VAR109 (VAR109 ),
.VAR131 (VAR131 ),
.VAR17 (VAR17 ),
.VAR161 (VAR161 ),
.VAR149 (VAR60 ),
.VAR75 (VAR146 ), .VAR65 (VAR125 ), .VAR30 (VAR130 ), .VAR153 (VAR158 ), .VAR165 (VAR84 ) );
wire VAR27;
wire VAR7;
wire [2:0] VAR18;
wire [2:0] VAR113;
wire [1:0] VAR144;
wire [9:0] VAR152;
wire [9:0] VAR105;
wire [9:0] VAR135;
wire VAR46;
wire VAR38;
VAR80 VAR91(
.clk (VAR138 ),
.rst (VAR87 ),
.VAR121 (VAR121 ),
.VAR116 (VAR116 ),
.VAR22 (VAR27 ),
.VAR11 (VAR7 ),
.VAR88 (VAR18 ),
.VAR107 (VAR113 ),
.VAR96 (VAR144 ),
.VAR59 (VAR60 ),
.VAR39 (VAR152 ),
.VAR6 (VAR105 ),
.VAR34 (VAR135 ),
.VAR114 (VAR46 ),
.VAR1 (VAR38 )
);
assign VAR54 = VAR152;
assign VAR2 = VAR105;
assign VAR129 = VAR135;
assign VAR89 = VAR46;
assign VAR36 = VAR38;
wire [7:0] VAR166;
wire [7:0] VAR63;
wire [7:0] VAR49;
wire VAR104;
wire VAR26;
wire [7:0] VAR25;
wire VAR71;
wire VAR50;
wire [2:0] VAR33;
wire [4:0] VAR136;
wire VAR103;
wire [2:0] VAR29;
wire [4:0] VAR92;
wire VAR40;
wire VAR162;
wire VAR68;
wire VAR15;
wire VAR61;
wire VAR56;
wire VAR151;
wire VAR52;
wire VAR102;
wire VAR110;
wire VAR45;
wire VAR13;
wire VAR128;
wire [7:0] VAR12;
wire [7:0] VAR8;
wire VAR141;
VAR120 VAR74(
.VAR138 (VAR138 ),
.VAR87 (VAR87 ),
.VAR117 (VAR64 ),
.VAR145 (VAR134 ),
.VAR148 (VAR100 ),
.VAR19 (VAR143 ),
.VAR73 (VAR41 ),
.VAR115 (VAR166 ),
.VAR5 (VAR63 ),
.VAR16 (VAR36 ),
.VAR93 (VAR49 ),
.VAR157 (VAR104 ),
.VAR133 (VAR26 ),
.VAR159 (VAR57 ),
.VAR123 (VAR25 ),
.VAR86 (VAR71 ),
.VAR132 (VAR50 ),
.VAR44 (VAR33 ),
.VAR62 (VAR136 ),
.VAR32 (VAR103 ),
.VAR9 (VAR29 ),
.VAR47 (VAR92 ),
.VAR78 (VAR40 ),
.VAR127 (VAR162 ),
.VAR147 (VAR68 ),
.VAR53 (VAR15 ),
.VAR3 (VAR61 ),
.VAR165 (VAR56 ),
.VAR126 (VAR151 ),
.VAR67 (VAR52 ),
.VAR48 (VAR102 ),
.VAR14 (VAR110 ),
.VAR112 (VAR45 ),
.VAR90 (VAR13 ),
.VAR168 (VAR128 ),
.VAR142 (VAR12 ),
.VAR70 (VAR8 ),
.VAR21 (VAR141 )
);
wire [13:0] VAR108;
wire [ 3:0] VAR94;
VAR76 VAR81(
.VAR138 (VAR138 ),
.VAR87 (VAR87 ),
.VAR150 (VAR151 ),
.VAR58 (VAR102 ),
.VAR156 (VAR33 ),
.VAR83 (VAR136 ),
.VAR160 (VAR103 ),
.VAR43 (VAR29 ),
.VAR20 (VAR92 ),
.VAR10 (VAR40 ),
.VAR167 (VAR162 ),
.VAR55 (VAR54 ),
.VAR4 (VAR2 ),
.VAR164 (VAR129 ),
.VAR98 (VAR89 ),
.VAR115 (VAR115 ),
.VAR35 (VAR128 ),
.VAR122 (VAR68 ),
.VAR111 (VAR15 ),
.VAR41 (VAR108 ),
.VAR23 (VAR94 )
);
wire [3:0] VAR124;
wire VAR31;
wire VAR169;
wire [13:0] VAR106;
wire VAR137;
VAR155 VAR139(
.VAR138 (VAR138 ),
.VAR87 (VAR87 ),
.VAR150 (VAR52 ),
.VAR58 (VAR110 ),
.VAR24 (VAR45 ),
.VAR97 (VAR13 ),
.VAR72 (VAR12 ),
.VAR163 (VAR8 ),
.VAR28 (VAR141 ),
.VAR55 (VAR54 ),
.VAR4 (VAR2 ),
.VAR164 (VAR129 ),
.VAR98 (VAR89 ),
.VAR115 (VAR115 ),
.VAR42 (VAR49 ),
.VAR77 (VAR104 ),
.VAR23 (VAR124 ),
.VAR95 (VAR31 ),
.VAR79 (VAR169 ),
.VAR41 (VAR106 ),
.VAR154 (VAR137 )
);
reg [5:0] VAR51 [31:0];
always @(posedge VAR138)
begin
if (VAR87)
begin
VAR51[VAR82(5'h00)] <= 6'h09;
VAR51[VAR82(5'h01)] <= 6'h01;
VAR51[VAR82(5'h02)] <= 6'h00;
VAR51[VAR82(5'h03)] <= 6'h01;
VAR51[VAR82(5'h04)] <= 6'h00;
VAR51[VAR82(5'h05)] <= 6'h02;
VAR51[VAR82(5'h06)] <= 6'h02;
VAR51[VAR82(5'h07)] <= 6'h0d;
VAR51[VAR82(5'h08)] <= 6'h08;
VAR51[VAR82(5'h09)] <= 6'h10;
VAR51[VAR82(5'h0a)] <= 6'h08;
VAR51[VAR82(5'h0b)] <= 6'h24;
VAR51[VAR82(5'h0c)] <= 6'h00;
VAR51[VAR82(5'h0d)] <= 6'h00;
VAR51[VAR82(5'h0e)] <= 6'h04;
VAR51[VAR82(5'h0f)] <= 6'h2c;
VAR51[VAR82(5'h11)] <= 6'h01;
VAR51[VAR82(5'h12)] <= 6'h34;
VAR51[VAR82(5'h13)] <= 6'h03;
VAR51[VAR82(5'h15)] <= 6'h04;
VAR51[VAR82(5'h16)] <= 6'h00;
VAR51[VAR82(5'h17)] <= 6'h14;
VAR51[VAR82(5'h19)] <= 6'h3a;
VAR51[VAR82(5'h1a)] <= 6'h00;
VAR51[VAR82(5'h1b)] <= 6'h02;
VAR51[VAR82(5'h1d)] <= 6'h20;
VAR51[VAR82(5'h1e)] <= 6'h2c;
VAR51[VAR82(5'h1f)] <= 6'h08;
end
else if (VAR50)
VAR51[VAR82(VAR41[4:0])] <= VAR25[5:0];
end
assign VAR166 = VAR115;
assign VAR63 = VAR51[VAR82(VAR41[4:0])];
assign VAR41 = (VAR137) ? VAR106 : VAR108;
assign VAR123 = VAR25;
assign VAR86 = VAR71;
reg VAR118;
wire VAR69;
always @(posedge VAR138)
begin
if (VAR87)
VAR118 <= 1'b0;
end
else
VAR118 <= VAR69;
end
wire VAR140;
wire VAR99;
wire VAR66;
assign VAR140 = ~VAR169;
assign VAR99 = ~|VAR124[1:0];
assign VAR66 = ~|VAR94[1:0];
assign VAR69 = (VAR130 == 0) ? 1'b0 :
(VAR31 && !VAR99 && !VAR66) ? 1'b1 : VAR118;
assign VAR60 =
((VAR140 || VAR66) && !VAR99) ? VAR51[{ 1'b1, VAR124 }] :
(!VAR66) ? VAR51[{ 1'b0, VAR94 }] :
VAR51[5'h00];
assign VAR26 = VAR118;
assign VAR37 = ~(VAR56 & VAR61);
endmodule
|
mit
|
EPiCS/soundgates
|
hardware/design/reference/cf_lib/edk/pcores/axi_ad7091r_v1_00_a/hdl/verilog/axi_ad7091r.v
| 10,381 |
module MODULE1 (
VAR24,
VAR120,
VAR131,
VAR91,
VAR21,
VAR125,
VAR123,
VAR49,
VAR50,
VAR153,
VAR81,
VAR109,
VAR42,
VAR23,
VAR133,
VAR64,
VAR147,
VAR122,
VAR85,
VAR119,
VAR32,
VAR94,
VAR116,
VAR148,
VAR137,
VAR130,
VAR38,
VAR52,
VAR139,
VAR140,
VAR13,
VAR19,
VAR102,
VAR141,
VAR104,
VAR61,
VAR135,
VAR73);
parameter VAR126 = 0;
parameter VAR89 = 0;
parameter VAR111 = "VAR110";
parameter VAR79 = 32'hffff;
parameter VAR82 = 32'hffffffff;
parameter VAR129 = 32'h00000000;
input VAR21;
input VAR125;
input VAR24;
output VAR120;
output VAR131;
output VAR91;
output VAR123;
output VAR49;
input VAR50;
input VAR153;
input VAR81;
output VAR109;
output [31:0] VAR42;
output [ 3:0] VAR23;
output VAR133;
input VAR64;
input VAR147;
input VAR122;
input VAR85;
input [31:0] VAR119;
output VAR32;
input VAR94;
input [31:0] VAR116;
input [ 3:0] VAR148;
output VAR137;
output VAR130;
output [ 1:0] VAR38;
input VAR52;
input VAR139;
input [31:0] VAR140;
output VAR13;
output VAR19;
output [ 1:0] VAR102;
output [31:0] VAR141;
input VAR104;
output VAR61;
output VAR135;
output [31:0] VAR73;
reg VAR123 = 'd0;
reg VAR97 = 'd0;
reg [31:0] VAR12 = 'd0;
reg [31:0] VAR8 = 'd0;
reg VAR10 = 'd0;
wire VAR145;
wire VAR75;
wire VAR51;
wire VAR108;
wire VAR5;
wire VAR39;
wire VAR118;
wire [15:0] VAR138;
wire [15:0] VAR105;
wire [15:0] VAR107;
wire VAR69;
wire VAR15;
wire VAR20;
wire VAR84;
wire [31:0] VAR47;
wire VAR31;
wire VAR86;
wire [31:0] VAR112;
wire VAR151;
wire VAR78;
wire VAR93;
wire [31:0] VAR70;
wire VAR66;
wire VAR103;
wire [13:0] VAR37;
wire [31:0] VAR54;
wire [31:0] VAR88;
wire VAR76;
wire [31:0] VAR65;
wire VAR62;
assign VAR5 = VAR147;
assign VAR108 = VAR122;
assign VAR75 = VAR81;
assign VAR31 = VAR64;
assign VAR109 = VAR20;
assign VAR42 = VAR47;
assign VAR133 = VAR84;
assign VAR23 = 4'hf;
assign VAR135 = VAR1;
assign VAR73[15: 0] = VAR138;
assign VAR73[31:16] = 16'h0;
assign VAR65 = 32'd0;
assign VAR39 = (VAR65 == 32'd0) ? VAR123 : VAR50;
assign VAR118 = (VAR65 == 32'd0) ? VAR49 : VAR153;
assign VAR61 = VAR147;
always @(posedge VAR61) begin
if (VAR145 == 1'b1) begin
VAR123 <= 1'b0;
end else begin
VAR123 <= 1'b1;
end
end
always @(posedge VAR61)
begin
VAR97 <= VAR1;
VAR12 <= { 16'h0, VAR138 };
end
always @(negedge VAR108 or posedge VAR5) begin
if (VAR108 == 0) begin
VAR8 <= 'd0;
VAR10 <= 'd0;
end else begin
VAR8 <= VAR88;
VAR10 <= VAR76;
end
end
VAR57 VAR27 (
.VAR132 (VAR21),
.VAR124 (VAR125),
.VAR6(VAR145),
.VAR115 (VAR138),
.VAR25 (VAR1),
.VAR24 (VAR24),
.VAR91 (VAR91),
.VAR120 (VAR120),
.VAR154 (VAR131),
.VAR41 (VAR15)
);
VAR74 #(.VAR17(32)) VAR87 (
.VAR75 (VAR75),
.VAR51 (VAR51),
.VAR152 (VAR20),
.VAR33 (VAR84),
.VAR80 (VAR47),
.VAR28 (VAR31),
.VAR95 (VAR151),
.VAR11 (VAR78),
.VAR68 (VAR93),
.VAR149 (VAR70),
.VAR61 (VAR61),
.VAR145 (VAR145),
.VAR97 (VAR97),
.VAR12 (VAR12),
.VAR96 (VAR118),
.VAR113 (VAR86),
.VAR63 (VAR112));
VAR43 VAR67 (
.VAR61 (VAR61),
.VAR145 (VAR145),
.VAR127 (),
.VAR34 (),
.VAR143 (),
.VAR98 (VAR15),
.VAR59 (32'd1),
.VAR117 (1'b0),
.VAR144 (),
.VAR30 (),
.VAR18 (),
.VAR128 (),
.VAR9 (),
.VAR48 (5'd0),
.VAR4 (1'b0),
.VAR114 (1'b0),
.VAR71 (1'd0),
.VAR136 (),
.VAR106 (),
.VAR60 (),
.VAR150 (),
.VAR121 (),
.VAR142 (16'd0),
.VAR53 (1'd0),
.VAR75 (VAR75),
.VAR51 (VAR51),
.VAR96 (VAR49),
.VAR113 (VAR86),
.VAR63 (VAR112),
.VAR95 (VAR151),
.VAR11 (VAR78),
.VAR68 (VAR93),
.VAR149 (VAR70),
.VAR35 (),
.VAR3 (8'd0),
.VAR108 (VAR108),
.VAR5 (VAR5),
.VAR101 (VAR66),
.VAR45 (VAR103),
.VAR36 (VAR37),
.VAR92 (VAR54),
.VAR8 (VAR88),
.VAR10 (VAR76)
);
VAR77 #(
.VAR22 (VAR82),
.VAR56 (VAR129))
VAR40 (
.VAR108 (VAR108),
.VAR5 (VAR5),
.VAR55 (VAR85),
.VAR72 (VAR119),
.VAR90 (VAR32),
.VAR44 (VAR94),
.VAR100 (VAR116),
.VAR58 (VAR148),
.VAR29 (VAR137),
.VAR146 (VAR130),
.VAR14 (VAR38),
.VAR46 (VAR52),
.VAR83 (VAR139),
.VAR16 (VAR140),
.VAR2 (VAR13),
.VAR134 (VAR19),
.VAR26 (VAR102),
.VAR7 (VAR141),
.VAR99 (VAR104),
.VAR101 (VAR66),
.VAR45 (VAR103),
.VAR36 (VAR37),
.VAR92 (VAR54),
.VAR8 (VAR8),
.VAR10 (VAR10));
endmodule
|
mit
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
|
cells/oai33/gf180mcu_fd_sc_mcu7t5v0__oai33_1.behavioral.v
| 5,359 |
module MODULE1( VAR1, VAR2, VAR7, VAR4, VAR10, VAR6, VAR3 );
input VAR10, VAR6, VAR3, VAR7, VAR2, VAR1;
output VAR4;
VAR9 VAR8(.VAR1(VAR1),.VAR2(VAR2),.VAR7(VAR7),.VAR4(VAR4),.VAR10(VAR10),.VAR6(VAR6),.VAR3(VAR3));
VAR9 VAR5(.VAR1(VAR1),.VAR2(VAR2),.VAR7(VAR7),.VAR4(VAR4),.VAR10(VAR10),.VAR6(VAR6),.VAR3(VAR3));
|
apache-2.0
|
redfern314/RFIDuino
|
verilog/fsk_iface_mchester.v
| 3,390 |
module MODULE1(
input VAR7,
input VAR13,
input VAR11,
output reg VAR12,
output reg VAR2,
output reg VAR6
);
integer VAR1, VAR8, VAR9, VAR3;
reg [2:0] VAR10;
reg VAR5;
reg [1:0] VAR4;
|
gpl-3.0
|
Feuerwerk/fpgaNES
|
pixel_pll/pixel_pll_0002.v
| 2,075 |
module MODULE1(
input wire VAR30,
input wire rst,
output wire VAR21,
output wire VAR51
);
VAR4 #(
.VAR64("false"),
.VAR63("50.0 VAR52"),
.VAR14("VAR45"),
.VAR54(1),
.VAR3("25.000000 VAR52"),
.VAR49("0 VAR22"),
.VAR6(50),
.VAR67("0 VAR52"),
.VAR41("0 VAR22"),
.VAR33(50),
.VAR13("0 VAR52"),
.VAR28("0 VAR22"),
.VAR71(50),
.VAR39("0 VAR52"),
.VAR72("0 VAR22"),
.VAR29(50),
.VAR35("0 VAR52"),
.VAR60("0 VAR22"),
.VAR68(50),
.VAR5("0 VAR52"),
.VAR8("0 VAR22"),
.VAR37(50),
.VAR15("0 VAR52"),
.VAR31("0 VAR22"),
.VAR66(50),
.VAR11("0 VAR52"),
.VAR2("0 VAR22"),
.VAR43(50),
.VAR27("0 VAR52"),
.VAR50("0 VAR22"),
.VAR24(50),
.VAR34("0 VAR52"),
.VAR46("0 VAR22"),
.VAR25(50),
.VAR32("0 VAR52"),
.VAR70("0 VAR22"),
.VAR44(50),
.VAR42("0 VAR52"),
.VAR23("0 VAR22"),
.VAR53(50),
.VAR38("0 VAR52"),
.VAR62("0 VAR22"),
.VAR19(50),
.VAR61("0 VAR52"),
.VAR36("0 VAR22"),
.VAR16(50),
.VAR65("0 VAR52"),
.VAR7("0 VAR22"),
.VAR1(50),
.VAR59("0 VAR52"),
.VAR18("0 VAR22"),
.VAR55(50),
.VAR69("0 VAR52"),
.VAR12("0 VAR22"),
.VAR58(50),
.VAR48("0 VAR52"),
.VAR17("0 VAR22"),
.VAR9(50),
.VAR47("VAR26"),
.VAR10("VAR26")
) VAR40 (
.rst (rst),
.VAR56 ({VAR21}),
.VAR51 (VAR51),
.VAR20 ( ),
.VAR57 (1'b0),
.VAR30 (VAR30)
);
endmodule
|
gpl-3.0
|
olajep/oh
|
src/adi/hdl/library/common/ad_rst.v
| 2,834 |
module MODULE1 (
input VAR2,
input clk,
output VAR4,
output reg rst);
reg VAR6 = 1'd1;
reg VAR3 = 1'd1;
reg VAR1 = 1'd1;
reg VAR5 = 1'd1;
always @(posedge clk or posedge VAR2) begin
if (VAR2) begin
VAR6 <= 1'b1;
VAR3 <= 1'b1;
VAR1 <= 1'b1;
end else begin
VAR6 <= 1'b0;
VAR3 <= VAR6;
VAR1 <= VAR3;
end
end
always @(posedge clk) begin
VAR5 <= VAR1;
rst <= VAR5;
end
assign VAR4 = ~rst;
endmodule
|
mit
|
monotone-RK/FACE
|
IEICE-Trans/16-way_2-tree/src/riffa/scsdpram.v
| 2,937 |
module MODULE1
parameter VAR11 = 32,
parameter VAR4 = 1024
)
(
input VAR5,
input VAR3,
input [VAR7(VAR4)-1:0] VAR8,
output [VAR11-1:0] VAR12,
input VAR1,
input [VAR7(VAR4)-1:0] VAR9,
input [VAR11-1:0] VAR2
);
reg [VAR11-1:0] VAR10 [VAR4-1:0];
reg [VAR11-1:0] VAR6;
assign VAR12 = VAR6;
always @(posedge VAR5) begin
if (VAR1) begin
VAR10[VAR9] <= VAR2;
end
if(VAR3) begin
VAR6 <= VAR10[VAR8];
end
end
endmodule
|
mit
|
monotone-RK/FACE
|
IEICE-Trans/data_compression/4-way_2-tree/src/riffa/rxc_engine_classic.v
| 20,954 |
module MODULE1
parameter VAR16 = 128,
parameter VAR67 = 10)
( input VAR142,
input VAR76, input VAR42, output VAR49,
input [VAR16-1:0] VAR3,
input VAR113,
input VAR91,
input [VAR51-1:0] VAR66,
input VAR88,
input [VAR51-1:0] VAR102,
input [VAR107-1:0] VAR6,
output [VAR16-1:0] VAR71,
output VAR104,
output [(VAR16/32)-1:0] VAR62,
output VAR31,
output [VAR25(VAR16/32)-1:0] VAR46,
output VAR9,
output [VAR25(VAR16/32)-1:0] VAR117,
output [VAR35-1:0] VAR89,
output [VAR81-1:0] VAR10,
output [VAR79-1:0] VAR143,
output [VAR38-1:0] VAR127,
output [VAR96-1:0] VAR57,
output [VAR2-1:0] VAR103,
output [VAR13-1:0] VAR50,
output [VAR22-1:0] VAR77,
output VAR55,
input [(VAR67+1)*VAR16-1:0] VAR72,
input [VAR67:0] VAR125,
input [(VAR67+1)*VAR51-1:0] VAR139,
input [VAR67:0] VAR100,
input [VAR67:0] VAR58);
localparam VAR133 = (VAR81+VAR35);
localparam VAR23 = 1;
localparam VAR26 = 1; localparam VAR47 = 1;
localparam VAR82 = VAR47;
localparam VAR56 = VAR82 - 1;
localparam VAR138 = VAR47 + VAR26 + VAR23;
localparam VAR90 = VAR47 + (VAR85/VAR16);
localparam VAR132 = VAR90;
localparam VAR19 = (VAR11/VAR16) + VAR23;
localparam VAR64 = (VAR40/VAR16) + VAR23;
localparam VAR144 = (VAR85/VAR16) + VAR23;
localparam VAR118 = VAR16*VAR23 + (VAR11%VAR16);
localparam VAR39 = VAR16*VAR23 + (VAR40%VAR16);
localparam VAR48 = VAR16*VAR23 + (VAR85%VAR16);
localparam VAR116 = VAR25(VAR16/32);
localparam VAR70 = 32;
localparam VAR135 = (VAR21 + VAR70)/32;
localparam VAR61 = (64/VAR16);
wire [VAR44-1:0] VAR140;
wire [VAR93-1:0] VAR119;
wire [VAR17-1:0] VAR1;
wire [VAR29-1:0] VAR7;
wire [2:0] VAR28;
wire [2:0] VAR94;
wire [(VAR16/32)-1:0] VAR121;
wire VAR63;
wire [VAR25(VAR16/32)-1:0] VAR109;
wire [(VAR16/32)-1:0] VAR136;
wire VAR123;
wire VAR123;
wire [2:0] VAR4;
wire [3:0] VAR54;
wire VAR120;
wire [VAR16-1:0] VAR80;
wire [95:0] VAR74;
wire VAR24;
wire VAR37;
wire [VAR25(VAR16/32)-1:0] VAR33;
wire VAR60;
wire [VAR25(VAR16/32)-1:0] VAR101;
wire [(VAR16/32)-1:0] VAR27;
wire [VAR67:0] VAR137;
reg VAR131,VAR131;
reg VAR8;
assign VAR49 = ~VAR8;
assign VAR28 = 3'b011;
assign VAR94 = 3'b010;
assign VAR120 = ~VAR140[2] & (VAR53 == "VAR87");
assign VAR4 = (VAR28 + {2'd0,VAR120}); assign VAR109 = VAR94 + VAR120 + VAR7;
assign VAR71 = VAR72[(VAR138)*VAR16 +: VAR16];
assign VAR104 = VAR24;
assign VAR9 = VAR37;
assign VAR117 = VAR33;
assign VAR31 = VAR60;
assign VAR46 = VAR101;
assign VAR103 = VAR74[VAR52];
assign VAR57 = VAR99({VAR74[VAR30],VAR74[VAR98]});
assign VAR127 = VAR74[VAR43];
assign VAR77 = VAR74[VAR105];
assign VAR50 = VAR74[VAR75];
assign VAR143 = VAR74[VAR5];
assign VAR55 = VAR74[VAR130];
assign VAR10 = 0; assign VAR89 = 0;
assign VAR63 = VAR125[VAR23+1];
assign VAR123 = VAR54 != 0;
generate
if(VAR16 == 32) begin
assign VAR54[3] = 0;
assign VAR54[2] = VAR137[VAR23 + 3] & VAR119[VAR73] & ~VAR131; assign VAR54[1] = VAR137[VAR23 + 2] & VAR119[VAR73] & ~VAR119[VAR126]; assign VAR54[0] = VAR137[VAR23 + 2] & ~VAR119[VAR73]; end else if(VAR16 == 64) begin
assign VAR54[3] = 0;
assign VAR54[2] = VAR137[VAR23 + 2] & VAR119[VAR73] & ~VAR131; if(VAR53 == "VAR87") begin
assign VAR54[1] = VAR137[VAR23 + 1] & VAR119[VAR73] & ~VAR119[VAR126] & VAR72[VAR48 + 2]; end else begin
assign VAR54[1] = VAR137[VAR23 + 1] & VAR119[VAR73] & ~VAR119[VAR126]; end
assign VAR54[0] = VAR137[VAR23 + 1] & ~VAR119[VAR73] & VAR131; end else if (VAR16 == 128) begin
assign VAR54[3] = 0;
assign VAR54[2] = VAR137[VAR23 + 1] & VAR119[VAR73] & ~VAR131; if(VAR53 == "VAR87") begin
assign VAR54[1] = VAR137[VAR23] & VAR72[VAR118 + VAR73] & ~VAR72[VAR118 + VAR126] & VAR72[VAR48 + 2]; end else begin
assign VAR54[1] = VAR137[VAR23] & VAR72[VAR118 + VAR73] & ~VAR72[VAR118 + VAR126];
end
assign VAR54[0] = VAR137[VAR23] & ~VAR72[VAR118 + VAR73]; end else begin assign VAR54[3] = 0;
assign VAR54[2] = 0;
assign VAR54[1] = 0;
assign VAR54[0] = VAR137[VAR23];
end endgenerate
always @(*) begin
VAR131 = VAR131;
if(VAR123) begin
VAR131 = 1'b1;
end else if (VAR125[VAR23+1]) begin
VAR131 = 1'b0;
end
end
always @(posedge VAR142) begin
if(VAR8) begin
VAR131 <= 1'b0;
end else begin
VAR131 <= VAR131;
end
end
always @(posedge VAR142) begin
VAR8 <= VAR76 | VAR42;
end
register
VAR134
( .VAR68 (VAR119[31:0]),
.VAR65 (0),
.VAR122 (VAR72[VAR118 +: 32]),
.VAR32 (VAR137[VAR19]),
.VAR142 (VAR142));
register
VAR20
( .VAR68 (VAR119[63:32]),
.VAR65 (0),
.VAR122 (VAR72[VAR39 +: 32]),
.VAR32 (VAR137[VAR64]),
.VAR142 (VAR142));
register
VAR141
( .VAR68 (VAR119[95:64]),
.VAR65 (0),
.VAR122 (VAR72[VAR48 +: 32]),
.VAR32 (VAR137[VAR144]),
.VAR142 (VAR142));
register
VAR111
( .VAR68 (VAR1),
.VAR65 (0),
.VAR122 (VAR72[(VAR86 + VAR16*VAR23) +: VAR17]),
.VAR32 (VAR137[VAR86/VAR16 + VAR23]),
.VAR142 (VAR142));
register
VAR92
( .VAR68 (VAR7),
.VAR65 (0),
.VAR122 (VAR72[((VAR106%VAR16) + VAR16*VAR23) +: VAR29]),
.VAR32 (VAR137[VAR106/VAR16 + VAR23]),
.VAR142 (VAR142));
register
VAR129
( .VAR68 (VAR140),
.VAR65 (0),
.VAR122 (VAR72[((VAR34%VAR16) + VAR16*VAR23) +: VAR44]),
.VAR32 (VAR137[VAR34/VAR16 + VAR23]),
.VAR142 (VAR142));
register
.VAR12 (1'b0)
)
VAR108
( .VAR68 (VAR123),
.VAR65 (0),
.VAR122 (VAR123),
.VAR32 (1),
.VAR142 (VAR142));
assign VAR136 = {VAR16/32{1'b1}} << ({VAR116{VAR123}}& VAR4[VAR116-1:0]);
VAR110
.VAR18 (VAR16/32)
)
VAR83
( .VAR112 (VAR121),
.VAR97 (VAR63),
.VAR69 (VAR109)
);
generate
if(VAR26 == 0) begin
assign VAR62 = {VAR121 & VAR136} & {VAR16/32{~VAR131 | ~VAR119[VAR73]}};
end else begin
register
.VAR12 (0)
)
VAR59
( .VAR68 (VAR27),
.VAR65 (~VAR131 | ~VAR119[VAR73]),
.VAR122 (VAR121 & VAR136),
.VAR32 (1),
.VAR142 (VAR142));
VAR95
.VAR15 (VAR16/32),
.VAR14 (0)
)
VAR115
( .VAR114 (), .VAR68 (VAR62),
.VAR78 (),
.VAR122 (VAR27),
.VAR128 (1),
.VAR124 (1'b1),
.VAR65 (VAR8),
.VAR142 (VAR142));
end
endgenerate
VAR95
.VAR15 (VAR93 + 2*(VAR25(VAR16/32) + 1)),
.VAR14 (0)
)
VAR41
( .VAR114 (), .VAR68 ({VAR74,VAR60,VAR101,VAR37,VAR33}),
.VAR78 (VAR24),
.VAR122 ({VAR119, VAR123,VAR4[VAR116-1:0],VAR63,VAR109[VAR116-1:0]}),
.VAR128 (VAR131 & VAR58[VAR138 - VAR26]),
.VAR124 (1'b1),
.VAR65 (VAR8),
.VAR142 (VAR142));
VAR84
.VAR15 (1'b1),
.VAR12 (0)
)
VAR36
( .VAR68 (VAR137),
.VAR122 (VAR91 & VAR113 &
(VAR72[VAR98] == VAR45)),
.VAR65 (0),
.VAR142 (VAR142));
endmodule
|
mit
|
tmolteno/TART
|
hardware/FPGA/tart_spi/verilog/misc/shift_reg.v
| 2,077 |
module MODULE1
input clk,
input VAR1,
input [VAR10:0] VAR2,
input VAR11,
output VAR9
);
reg [VAR8:0] VAR3 = VAR7;
wire [VAR5:0] VAR4;
assign VAR9 = VAR3[VAR2];
assign VAR4 = {VAR3, VAR11};
always @(posedge clk)
if (VAR1)
VAR3 <= #VAR6 VAR4[VAR8:0];
endmodule
|
lgpl-3.0
|
martinmiranda14/Digitales
|
Lab_6/Prueba_codigos_teclado.v
| 1,822 |
module MODULE1(
input VAR34,
input VAR36,
input VAR16,
input VAR39,
output [7:0] VAR7,
output [7:0] VAR14,
output VAR31,VAR33,VAR38,VAR20,VAR26,VAR22,VAR19,VAR12
);
wire reset;
wire VAR37;
wire [7:0] VAR25;
wire [4:0] VAR40;
wire [2:0] VAR13;
assign reset=~VAR36;
VAR11 VAR27(
.VAR10(VAR25),
.VAR40(VAR40),
.VAR13(VAR13),
.VAR4 (VAR7[7:0])
);
VAR15 VAR17(
.clk(VAR34),
.rst(reset),
.VAR5(VAR39),
.VAR32(VAR16),
.VAR1(VAR25),
.VAR18(),
.VAR29(),
.VAR3()
);
VAR35 VAR8(
.clk(VAR34),
.rst(reset),
.VAR6(VAR37)
);
VAR2 VAR30(
.VAR24(VAR37),
.VAR21({24'b0,VAR25}),
.VAR28(),
.VAR23(VAR14[7:0]),
.VAR9({VAR31,VAR33,VAR38,VAR20,VAR26,VAR22,VAR19,VAR12})
);
endmodule
|
apache-2.0
|
VCTLabs/DE1_SOC_Linux_FB
|
soc_system/submodules/soc_system_jtag_uart.v
| 16,785 |
module MODULE1 (
clk,
VAR34,
VAR55,
VAR17,
VAR11,
VAR13,
VAR9
)
;
output VAR17;
output [ 7: 0] VAR11;
output VAR13;
output [ 5: 0] VAR9;
input clk;
input [ 7: 0] VAR34;
input VAR55;
wire VAR17;
wire [ 7: 0] VAR11;
wire VAR13;
wire [ 5: 0] VAR9;
always @(posedge clk)
begin
if (VAR55)
("%VAR8", VAR34);
end
assign VAR9 = {6{1'b0}};
assign VAR11 = {8{1'b0}};
assign VAR17 = 1'b0;
assign VAR13 = 1'b1;
endmodule
module MODULE4 (
clk,
VAR43,
VAR34,
VAR55,
VAR49,
VAR17,
VAR11,
VAR13,
VAR9
)
;
output VAR17;
output [ 7: 0] VAR11;
output VAR13;
output [ 5: 0] VAR9;
input clk;
input VAR43;
input [ 7: 0] VAR34;
input VAR55;
input VAR49;
wire VAR17;
wire [ 7: 0] VAR11;
wire VAR13;
wire [ 5: 0] VAR9;
MODULE1 MODULE4
(
.clk (clk),
.VAR17 (VAR17),
.VAR34 (VAR34),
.VAR55 (VAR55),
.VAR11 (VAR11),
.VAR13 (VAR13),
.VAR9 (VAR9)
);
endmodule
module MODULE5 (
clk,
VAR24,
VAR41,
VAR48,
VAR2,
VAR19,
VAR46
)
;
output VAR48;
output [ 7: 0] VAR2;
output VAR19;
output [ 5: 0] VAR46;
input clk;
input VAR24;
input VAR41;
reg [ 31: 0] VAR31;
wire VAR48;
reg VAR53;
wire [ 7: 0] VAR2;
wire VAR54;
wire [ 31: 0] VAR22;
wire [ 6: 0] VAR36;
wire VAR19;
wire [ 5: 0] VAR46;
always @(posedge clk or negedge VAR41)
begin
if (VAR41 == 0)
begin
VAR31 <= 32'h0;
VAR53 <= 1'b0;
end
else
begin
VAR53 <= VAR24;
if (VAR53)
VAR31 <= VAR31 - 1'b1;
if (VAR54)
VAR31 <= VAR22;
end
end
assign VAR48 = VAR31 == 32'b0;
assign VAR19 = VAR31 > 7'h40;
assign VAR36 = (VAR19) ? 7'h40 : VAR31;
assign VAR46 = VAR36[5 : 0];
assign VAR54 = 1'b0;
assign VAR22 = 32'b0;
assign VAR2 = 8'b0;
endmodule
module MODULE2 (
clk,
VAR43,
VAR24,
VAR41,
VAR42,
VAR27,
VAR48,
VAR2,
VAR19,
VAR46
)
;
output VAR48;
output [ 7: 0] VAR2;
output VAR19;
output [ 5: 0] VAR46;
input clk;
input VAR43;
input VAR24;
input VAR41;
input [ 7: 0] VAR42;
input VAR27;
wire VAR48;
wire [ 7: 0] VAR2;
wire VAR19;
wire [ 5: 0] VAR46;
MODULE5 MODULE1
(
.clk (clk),
.VAR48 (VAR48),
.VAR24 (VAR24),
.VAR2 (VAR2),
.VAR19 (VAR19),
.VAR46 (VAR46),
.VAR41 (VAR41)
);
endmodule
module MODULE3 (
VAR51,
VAR52,
VAR25,
VAR7,
VAR44,
clk,
VAR41,
VAR23,
VAR40,
VAR38,
VAR26,
VAR39
)
;
output VAR23;
output [ 31: 0] VAR40;
output VAR38;
output VAR26;
output VAR39;
input VAR51;
input VAR52;
input VAR25;
input VAR7;
input [ 31: 0] VAR44;
input clk;
input VAR41;
reg VAR30;
wire VAR47;
wire VAR23;
wire [ 31: 0] VAR40;
reg VAR38;
reg VAR26;
reg VAR3;
reg VAR12;
wire VAR48;
wire VAR17;
wire VAR43;
wire VAR24;
wire [ 7: 0] VAR2;
wire [ 7: 0] VAR34;
reg VAR55;
reg VAR33;
reg VAR18;
wire VAR15;
wire VAR57;
reg VAR50;
wire [ 7: 0] VAR11;
wire VAR32;
reg VAR20;
wire VAR49;
reg VAR35;
reg VAR39;
wire VAR19;
wire [ 5: 0] VAR46;
reg VAR56;
reg VAR4;
reg VAR28;
reg VAR1;
reg VAR45;
wire [ 7: 0] VAR42;
reg VAR14;
wire VAR21;
wire VAR6;
wire VAR13;
wire [ 5: 0] VAR9;
reg VAR5;
wire VAR27;
assign VAR49 = VAR32 & ~VAR13;
assign VAR27 = VAR21 & ~VAR19;
assign VAR43 = ~VAR41;
MODULE4 MODULE3
(
.clk (clk),
.VAR17 (VAR17),
.VAR43 (VAR43),
.VAR34 (VAR34),
.VAR55 (VAR55),
.VAR11 (VAR11),
.VAR49 (VAR49),
.VAR13 (VAR13),
.VAR9 (VAR9)
);
MODULE2 MODULE2
(
.clk (clk),
.VAR48 (VAR48),
.VAR43 (VAR43),
.VAR24 (VAR24),
.VAR2 (VAR2),
.VAR19 (VAR19),
.VAR46 (VAR46),
.VAR41 (VAR41),
.VAR42 (VAR42),
.VAR27 (VAR27)
);
assign VAR15 = VAR33 & VAR3;
assign VAR57 = VAR18 & (VAR50 | VAR12);
assign VAR23 = VAR15 | VAR57;
assign VAR47 = VAR6 | VAR21;
always @(posedge clk or negedge VAR41)
begin
if (VAR41 == 0)
VAR50 <= 1'b0;
end
else if (VAR6 & ~VAR48)
VAR50 <= 1'b1;
else if (VAR35)
VAR50 <= 1'b0;
end
always @(posedge clk or negedge VAR41)
begin
if (VAR41 == 0)
begin
VAR20 <= 1'b0;
VAR14 <= 1'b1;
end
else
begin
VAR20 <= VAR32 & ~VAR13;
VAR14 <= ~VAR19;
end
end
always @(posedge clk or negedge VAR41)
begin
if (VAR41 == 0)
begin
VAR3 <= 1'b0;
VAR12 <= 1'b0;
VAR55 <= 1'b0;
VAR56 <= 1'b0;
VAR35 <= 1'b0;
VAR33 <= 1'b0;
VAR18 <= 1'b0;
VAR30 <= 1'b0;
VAR5 <= 1'b0;
VAR38 <= 1'b1;
end
else
begin
VAR3 <= {VAR17,VAR9} <= 8;
VAR12 <= (7'h40 - {VAR19,VAR46}) <= 8;
VAR55 <= 1'b0;
VAR35 <= 1'b0;
VAR38 <= ~(VAR52 & (~VAR7 | ~VAR25) & VAR38);
if (VAR47)
VAR30 <= 1'b1;
if (VAR52 & ~VAR7 & VAR38)
if (VAR51)
begin
VAR18 <= VAR44[0];
VAR33 <= VAR44[1];
if (VAR44[10] & ~VAR47)
VAR30 <= 1'b0;
end
else
begin
VAR55 <= ~VAR17;
VAR5 <= VAR17;
end
if (VAR52 & ~VAR25 & VAR38)
begin
if (~VAR51)
VAR56 <= ~VAR48;
VAR35 <= ~VAR51;
end
end
end
assign VAR34 = VAR44[7 : 0];
assign VAR24 = (VAR52 & ~VAR25 & VAR38 & ~VAR51) ? ~VAR48 : 1'b0;
assign VAR40 = VAR35 ? { {9{1'b0}},VAR19,VAR46,VAR56,VAR5,~VAR17,~VAR48,1'b0,VAR30,VAR15,VAR57,VAR2 } : { {9{1'b0}},(7'h40 - {VAR17,VAR9}),VAR56,VAR5,~VAR17,~VAR48,1'b0,VAR30,VAR15,VAR57,{6{1'b0}},VAR33,VAR18 };
always @(posedge clk or negedge VAR41)
begin
if (VAR41 == 0)
VAR39 <= 0;
end
else
VAR39 <= ~VAR17;
end
always @(posedge clk)
begin
VAR45 <= 1'b0;
VAR1 <= 1'b0;
VAR28 <= VAR14 ? VAR11 : {8{VAR20}};
VAR4 <= 1'b0;
end
assign VAR32 = VAR4;
assign VAR21 = VAR1;
assign VAR42 = VAR28;
assign VAR6 = VAR45;
always @(VAR48)
begin
VAR26 = ~VAR48;
end
endmodule
|
epl-1.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/dlrtp/sky130_fd_sc_lp__dlrtp_lp.v
| 2,370 |
module MODULE2 (
VAR9 ,
VAR5,
VAR1 ,
VAR4 ,
VAR7 ,
VAR10 ,
VAR6 ,
VAR2
);
output VAR9 ;
input VAR5;
input VAR1 ;
input VAR4 ;
input VAR7 ;
input VAR10 ;
input VAR6 ;
input VAR2 ;
VAR3 VAR8 (
.VAR9(VAR9),
.VAR5(VAR5),
.VAR1(VAR1),
.VAR4(VAR4),
.VAR7(VAR7),
.VAR10(VAR10),
.VAR6(VAR6),
.VAR2(VAR2)
);
endmodule
module MODULE2 (
VAR9 ,
VAR5,
VAR1 ,
VAR4
);
output VAR9 ;
input VAR5;
input VAR1 ;
input VAR4 ;
supply1 VAR7;
supply0 VAR10;
supply1 VAR6 ;
supply0 VAR2 ;
VAR3 VAR8 (
.VAR9(VAR9),
.VAR5(VAR5),
.VAR1(VAR1),
.VAR4(VAR4)
);
endmodule
|
apache-2.0
|
The-OpenROAD-Project/asap7
|
asap7sc6t_26/Verilog/asap7sc6T_CKINVDC_LVT_TT_210930.v
| 11,786 |
module MODULE1 (VAR2, VAR1);
output VAR2;
input VAR1;
not (VAR2, VAR1);
|
bsd-3-clause
|
kactus2/ipxactexamplelib
|
tut.fi/communication.template/spi_slave/1.0/spi_slave.v
| 3,053 |
module MODULE1 #(
parameter VAR5 = 0
) (
input VAR1,
input VAR10,
input VAR4,
output reg VAR13,
input VAR2 );
localparam VAR16 = 8; localparam VAR14 = VAR9(VAR16);
reg [VAR16-1:0] VAR8;
reg [VAR16-1:0] VAR11;
reg [VAR14-1:0] VAR12;
reg [VAR14-1:0] VAR6;
reg VAR7;
reg VAR3;
always @(posedge VAR1 or posedge VAR2) begin
if(VAR2 == 1'b1) begin
VAR8 <= 0;
VAR11 <= 8'hAA;
VAR12 <= 1;
VAR6 <= 0;
VAR13 <= 1'VAR15;
VAR7 <= 1;
VAR3 <= 0;
end
else begin
if (VAR7 == 1'b0) begin
VAR13 <= VAR11[VAR12];
if (!VAR3) begin
VAR8[VAR6] <= VAR10;
VAR6 <= VAR6 + 1;
end
else
VAR11 <= VAR8;
if (VAR12 < VAR16 - 1) begin
VAR12 <= VAR12 + 1;
end
if (VAR6 >= VAR16 - 1) begin
VAR3 <= 1;
end
end
else begin
if (VAR4 == 1'b0) begin
VAR13 <= VAR11[0];
VAR3 <= 0;
VAR12 <= 1;
VAR6 <= 0;
end
else begin
VAR13 <= 1'VAR15;
end
end
VAR7 <= VAR4;
end
end
endmodule
|
mit
|
CospanDesign/sdio-device
|
rtl/cia/sdio_cccr.v
| 8,928 |
module MODULE1 (
input clk,
input rst,
input VAR24,
input VAR10,
input [7:0] VAR97,
input VAR78,
input [7:0] VAR91,
output [7:0] VAR27,
output reg [7:0] VAR63,
input [7:0] VAR26,
output reg [7:0] VAR93,
input [7:0] VAR16,
output reg VAR65,
output reg [2:0] VAR76,
output reg VAR52,
output reg VAR41,
input VAR48,
output reg VAR23,
output reg [3:0] VAR29,
input VAR33,
input [7:0] VAR62,
input [7:0] VAR82,
output reg [15:0] VAR22,
output VAR83,
output VAR61,
output VAR79,
output VAR34,
output VAR56,
output VAR59,
output VAR47,
output VAR43,
output VAR36,
output VAR2,
output VAR4,
output VAR17,
output reg VAR51,
output reg VAR92
);
localparam VAR74 = 4'h0;
localparam VAR86 = 4'h1;
localparam VAR40 = 4'h2;
reg [1:0] VAR1;
reg [3:0] state;
wire [7:0] VAR14 [0:22];
reg [1:0] VAR64;
reg [2:0] VAR25;
reg [2:0] VAR7;
wire [17:0] VAR54;
wire [17:0] VAR49;
assign VAR49 = VAR9;
assign VAR27 = VAR14[VAR97];
assign VAR83 = (VAR64 == VAR32);
assign VAR61 = (VAR64 == VAR87);
assign VAR79 = (VAR64 == VAR35);
assign VAR34 = (VAR25 == VAR96);
assign VAR56 = (VAR25 == VAR67);
assign VAR59 = (VAR25 == VAR18);
assign VAR47 = (VAR25 == VAR72);
assign VAR43 = (VAR25 == VAR98);
assign VAR36 = (VAR1 == VAR45);
assign VAR2 = (VAR1 == VAR102);
assign VAR4 = (VAR1 == VAR31);
assign VAR17 = (VAR1 == VAR53);
assign VAR14[VAR39 ] = {VAR13, VAR99};
assign VAR14[VAR19 ] = {4'h0, VAR44};
assign VAR14[VAR8 ] = VAR63;
assign VAR14[VAR77 ] = VAR26;
assign VAR14[VAR66 ] = VAR93;
assign VAR14[VAR94 ] = VAR16;
assign VAR14[VAR100 ] = {4'h0, VAR65, VAR7};
assign VAR14[VAR69 ] = {VAR52, VAR84, 2'b00, VAR51, VAR38, VAR64};
assign VAR14[VAR80 ] = {VAR68, VAR101, VAR41, VAR42, VAR11, VAR85, VAR50, VAR46};
assign VAR14[VAR55 ] = VAR49[7:0];
assign VAR14[VAR73 ] = VAR49[15:8];
assign VAR14[VAR3 ] = {6'b000000, VAR49[17:16]};
assign VAR14[VAR21 ] = {6'b000000, VAR23, VAR48};
assign VAR14[VAR89 ] = {VAR33, 3'b000, VAR29};
assign VAR14[VAR95 ] = {VAR62};
assign VAR14[VAR81 ] = {VAR82};
assign VAR14[VAR75] = {VAR22[7:0]};
assign VAR14[VAR15] = {VAR22[15:8]};
assign VAR14[VAR88 ] = {4'h0, VAR20,VAR71, VAR28};
assign VAR14[VAR5 ] = {4'h0, VAR25, VAR57};
assign VAR14[VAR70 ] = {5'h0, VAR12, VAR30, VAR12};
assign VAR14[VAR37 ] = {2'b00, VAR1, 1'b0, VAR90, VAR90, VAR60};
assign VAR14[VAR58 ] = {6'h00, VAR92, VAR6};
always @ (posedge clk) begin
VAR65 <= 0;
VAR76 <= 8'h0;
VAR7 <= 0;
VAR23 <= 0;
if (rst) begin
state <= VAR74;
VAR63 <= 8'h0; VAR93 <= 8'h0;
VAR41 <= 0;
VAR52 <= 0;
VAR41 <= 0;
VAR41 <= 0;
VAR29 <= 0;
VAR22 <= 0; VAR25 <= 0;
VAR1 <= 0;
VAR92<= 0;
VAR51 <= 0;
VAR64 <= 0;
end
else begin
if (VAR7 == 0) begin
VAR76 <= 0;
end
else begin
VAR76[VAR7] <= 1;
end
if (VAR24) begin
if (VAR78) begin
if (VAR10) begin
case (VAR97)
VAR63 <= VAR91;
VAR93 <= VAR91;
VAR65 <= VAR91[3];
VAR7 <= VAR91[2:0];
end
VAR52 <= VAR91[7];
VAR64 <= VAR91[1:0];
VAR51 <= VAR91[5];
end
VAR23 <= VAR91[1];
VAR29 <= VAR91[3:0];
VAR22[7:0] <= VAR91;
VAR22[15:8] <= VAR91;
VAR25 <= VAR91[3:1];
VAR1 <= VAR91[6:4];
VAR92 <= VAR91[1];
default: begin
end
endcase
end
end
end
end
end
endmodule
|
mit
|
CospanDesign/nysa-tx1-pcie-platform
|
tx1_pcie/slave/wb_tx1_pcie/rtl/xilinx/pcie_7x_v1_11_0_pipe_eq.v
| 35,582 |
module MODULE1 #
(
parameter VAR101 = "VAR131",
parameter VAR109 = "VAR74",
parameter VAR121 = 1
)
(
input VAR126,
input VAR112,
input VAR44,
input [ 1:0] VAR30,
input [ 3:0] VAR7,
input [ 3:0] VAR129,
input [ 5:0] VAR89,
input [ 1:0] VAR69,
input [ 2:0] VAR29,
input [ 5:0] VAR118,
input [ 3:0] VAR127,
input VAR77,
input [17:0] VAR87,
input VAR76,
output VAR41,
output [ 4:0] VAR90,
output [ 6:0] VAR114,
output [ 4:0] VAR53,
output [17:0] VAR5,
output VAR68,
output [ 5:0] VAR99,
output [17:0] VAR133,
output VAR107,
output VAR82,
output VAR124,
output [ 5:0] VAR80
);
reg VAR38;
reg VAR63;
reg [ 1:0] VAR13;
reg [ 3:0] VAR83;
reg [ 5:0] VAR86;
reg [ 1:0] VAR93;
reg [ 3:0] VAR71;
reg [ 5:0] VAR46;
reg [ 1:0] VAR116;
reg [ 2:0] VAR134;
reg [ 5:0] VAR115;
reg [ 3:0] VAR21;
reg VAR102;
reg [17:0] VAR92;
reg VAR34;
reg [ 1:0] VAR33;
reg [ 2:0] VAR106;
reg [ 5:0] VAR11;
reg [ 3:0] VAR140;
reg VAR37;
reg [17:0] VAR122;
reg VAR27;
reg [18:0] VAR48 = 19'd0;
reg VAR67 = 1'd0;
reg [ 1:0] VAR97 = 2'd0;
reg [ 2:0] VAR137 = 3'd0;
reg VAR110 = 1'd0;
reg [ 3:0] VAR54 = 4'd0;
reg [17:0] VAR35 = 18'd0;
reg [ 2:0] VAR36 = 3'd0;
reg [ 5:0] VAR105 = 6'd0;
reg [ 5:0] VAR16 = 6'd0;
reg VAR72 = 1'd0;
reg [18:0] VAR39 = 19'd0;
reg VAR128 = 1'd0;
reg [ 5:0] VAR23 = 6'd0;
reg [17:0] VAR43 = 18'd0;
reg VAR59 = 1'd0;
reg VAR50 = 1'd0;
reg VAR12 = 1'd0;
reg VAR26 = 1'd0;
reg [ 5:0] VAR9 = 6'd0;
wire VAR28;
wire VAR130;
wire [17:0] VAR32;
wire VAR40;
wire VAR10;
localparam VAR61 = 6'b000001;
localparam VAR14 = 6'b000010;
localparam VAR20 = 6'b000100;
localparam VAR64 = 6'b001000;
localparam VAR88 = 6'b010000;
localparam VAR103 = 6'b100000;
localparam VAR70 = 6'b000001;
localparam VAR66 = 6'b000010;
localparam VAR42 = 6'b000100;
localparam VAR18 = 6'b001000;
localparam VAR100 = 6'b010000;
localparam VAR139 = 6'b100000;
localparam VAR15 = 6'd0; localparam VAR47 = 7'd60;
localparam VAR4 = 6'd20;
localparam VAR6 = 6'd0; localparam VAR58 = 7'd68; localparam VAR96 = 6'd13;
localparam VAR17 = 6'd0; localparam VAR51 = 7'd64;
localparam VAR94 = 6'd16;
localparam VAR95 = 6'd0; localparam VAR125 = 7'd70;
localparam VAR113 = 6'd10;
localparam VAR45 = 6'd0; localparam VAR25 = 7'd80;
localparam VAR52 = 6'd0;
localparam VAR31 = 6'd8; localparam VAR120 = 7'd72;
localparam VAR79 = 6'd0;
localparam VAR22 = 6'd10; localparam VAR81 = 7'd70;
localparam VAR65 = 6'd0;
localparam VAR19 = 6'd8; localparam VAR98 = 7'd56;
localparam VAR132 = 6'd16;
localparam VAR60 = 6'd10; localparam VAR57 = 7'd60;
localparam VAR85 = 6'd10;
localparam VAR135 = 6'd13; localparam VAR55 = 7'd68; localparam VAR49 = 6'd0;
localparam VAR104 = 6'd0; localparam VAR111 = 7'd56; localparam VAR78 = 6'd25;
always @ (posedge VAR126)
begin
if (!VAR112)
begin
VAR38 <= 1'd0;
VAR13 <= 2'd0;
VAR83 <= 4'd0;
VAR86 <= 6'd1;
VAR116 <= 2'd0;
VAR134 <= 3'd0;
VAR115 <= 6'd0;
VAR21 <= 4'd0;
VAR102 <= 1'd0;
VAR92 <= 18'd0;
VAR34 <= 1'd0;
VAR63 <= 1'd0;
VAR93 <= 2'd0;
VAR71 <= 4'd0;
VAR46 <= 6'd1;
VAR33 <= 2'd0;
VAR106 <= 3'd0;
VAR11 <= 6'd0;
VAR140 <= 4'd0;
VAR37 <= 1'd0;
VAR122 <= 18'd0;
VAR27 <= 1'd0;
end
else
begin
VAR38 <= VAR44;
VAR13 <= VAR30;
VAR83 <= VAR7;
VAR86 <= VAR89;
VAR116 <= VAR69;
VAR134 <= VAR29;
VAR115 <= VAR118;
VAR21 <= VAR127;
VAR102 <= VAR77;
VAR92 <= VAR87;
VAR34 <= VAR76;
VAR63 <= VAR38;
VAR93 <= VAR13;
VAR71 <= VAR83;
VAR46 <= VAR86;
VAR33 <= VAR116;
VAR106 <= VAR134;
VAR11 <= VAR115;
VAR140 <= VAR21;
VAR37 <= VAR102;
VAR122 <= VAR92;
VAR27 <= VAR34;
end
end
always @ (posedge VAR126)
begin
if (!VAR112)
begin
case (VAR129)
4'd0 : VAR48 <= {VAR4, VAR47, VAR15};
4'd1 : VAR48 <= {VAR96, VAR58, VAR6};
4'd2 : VAR48 <= {VAR94, VAR51, VAR17};
4'd3 : VAR48 <= {VAR113, VAR125, VAR95};
4'd4 : VAR48 <= {VAR52, VAR25, VAR45};
4'd5 : VAR48 <= {VAR79, VAR120, VAR31};
4'd6 : VAR48 <= {VAR65, VAR81, VAR22};
4'd7 : VAR48 <= {VAR132, VAR98, VAR19};
4'd8 : VAR48 <= {VAR85, VAR57, VAR60};
4'd9 : VAR48 <= {VAR49, VAR55, VAR135};
4'd10 : VAR48 <= {VAR78, VAR111, VAR104};
default : VAR48 <= 19'd4;
endcase
VAR67 <= 1'd0;
end
else
begin
if (VAR23 == VAR14)
begin
case (VAR71)
4'd0 : VAR48 <= {VAR4, VAR47, VAR15};
4'd1 : VAR48 <= {VAR96, VAR58, VAR6};
4'd2 : VAR48 <= {VAR94, VAR51, VAR17};
4'd3 : VAR48 <= {VAR113, VAR125, VAR95};
4'd4 : VAR48 <= {VAR52, VAR25, VAR45};
4'd5 : VAR48 <= {VAR79, VAR120, VAR31};
4'd6 : VAR48 <= {VAR65, VAR81, VAR22};
4'd7 : VAR48 <= {VAR132, VAR98, VAR19};
4'd8 : VAR48 <= {VAR85, VAR57, VAR60};
4'd9 : VAR48 <= {VAR49, VAR55, VAR135};
4'd10 : VAR48 <= {VAR78, VAR111, VAR104};
default : VAR48 <= 19'd4;
endcase
VAR67 <= 1'd1;
end
else
begin
VAR48 <= VAR48;
VAR67 <= 1'd0;
end
end
end
always @ (posedge VAR126)
begin
if (!VAR112)
begin
VAR23 <= VAR61;
VAR39 <= 19'd0;
VAR97 <= 2'd0;
VAR128 <= 1'd0;
end
else
begin
case (VAR23)
VAR61 :
begin
case (VAR93)
2'd0 :
begin
VAR23 <= VAR61;
VAR39 <= VAR39;
VAR97 <= 2'd0;
VAR128 <= 1'd0;
end
2'd1 :
begin
VAR23 <= VAR14;
VAR39 <= VAR39;
VAR97 <= 2'd0;
VAR128 <= 1'd0;
end
2'd2 :
begin
VAR23 <= VAR20;
VAR39 <= {VAR46, VAR39[18:6]};
VAR97 <= 2'd1;
VAR128 <= 1'd0;
end
2'd3 :
begin
VAR23 <= VAR88;
VAR39 <= VAR39;
VAR97 <= 2'd0;
VAR128 <= 1'd0;
end
default :
begin
VAR23 <= VAR61;
VAR39 <= VAR39;
VAR97 <= 2'd0;
VAR128 <= 1'd0;
end
endcase
end
VAR14 :
begin
VAR23 <= (VAR67 ? VAR103 : VAR14);
VAR39 <= VAR48;
VAR97 <= 2'd0;
VAR128 <= 1'd0;
end
VAR20 :
begin
VAR23 <= ((VAR97 == 2'd2) ? VAR64 : VAR20);
if (VAR97 == 2'd1)
VAR39 <= {1'd0, VAR46, VAR39[18:7]};
end
else
VAR39 <= {VAR46, VAR39[18:6]};
VAR97 <= VAR97 + 2'd1;
VAR128 <= 1'd0;
end
VAR64 :
begin
VAR23 <= VAR103;
VAR39 <= VAR39 << 1; VAR97 <= 2'd0;
VAR128 <= 1'd0;
end
VAR88:
begin
VAR23 <= VAR103;
VAR39 <= VAR39;
VAR97 <= 2'd0;
VAR128 <= 1'd0;
end
VAR103 :
begin
VAR23 <= ((VAR93 == 2'd0) ? VAR61 : VAR103);
VAR39 <= VAR39;
VAR97 <= 2'd0;
VAR128 <= 1'd1;
end
default :
begin
VAR23 <= VAR61;
VAR39 <= 19'd0;
VAR97 <= 2'd0;
VAR128 <= 1'd0;
end
endcase
end
end
always @ (posedge VAR126)
begin
if (!VAR112)
begin
VAR9 <= VAR70;
VAR137 <= 3'd0;
VAR110 <= 1'd0;
VAR54 <= 4'd0;
VAR35 <= 18'd0;
VAR36 <= 3'd0;
VAR105 <= 6'd0;
VAR16 <= 6'd0;
VAR72 <= 1'd0;
VAR43 <= 18'd0;
VAR59 <= 1'd0;
VAR50 <= 1'd0;
VAR12 <= 1'd0;
VAR26 <= 1'd0;
end
else
begin
case (VAR9)
VAR70 :
begin
case (VAR33)
2'd1 :
begin
VAR9 <= VAR66;
VAR137 <= VAR106;
VAR110 <= 1'd0;
VAR54 <= VAR54;
VAR35 <= VAR35;
VAR36 <= 3'd0;
VAR105 <= VAR105;
VAR16 <= VAR16;
VAR72 <= 1'd0;
VAR43 <= VAR43;
VAR59 <= 1'd0;
VAR50 <= 1'd0;
VAR12 <= 1'd0;
VAR26 <= 1'd0;
end
2'd2 :
begin
VAR9 <= VAR42;
VAR137 <= VAR137;
VAR110 <= 1'd0;
VAR54 <= VAR140;
VAR35 <= {VAR46, VAR35[17:6]};
VAR36 <= 3'd1;
VAR105 <= VAR11;
VAR16 <= VAR16;
VAR72 <= 1'd0;
VAR43 <= VAR43;
VAR59 <= 1'd0;
VAR50 <= VAR50;
VAR12 <= 1'd0;
VAR26 <= 1'd0;
end
2'd3 :
begin
VAR9 <= VAR42;
VAR137 <= VAR137;
VAR110 <= 1'd0;
VAR54 <= VAR140;
VAR35 <= {VAR46, VAR35[17:6]};
VAR36 <= 3'd1;
VAR105 <= VAR11;
VAR16 <= VAR16;
VAR72 <= 1'd0;
VAR43 <= VAR43;
VAR59 <= 1'd0;
VAR50 <= VAR50;
VAR12 <= 1'd0;
VAR26 <= 1'd0;
end
default :
begin
VAR9 <= VAR70;
VAR137 <= VAR137;
VAR110 <= 1'd0;
VAR54 <= VAR54;
VAR35 <= VAR35;
VAR36 <= 3'd0;
VAR105 <= VAR105;
VAR16 <= VAR16;
VAR72 <= 1'd0;
VAR43 <= VAR43;
VAR59 <= 1'd0;
VAR50 <= VAR50;
VAR12 <= 1'd0;
VAR26 <= 1'd0;
end
endcase
end
VAR66 :
begin
VAR9 <= (VAR130 ? VAR139 : VAR66);
VAR137 <= VAR106;
VAR110 <= 1'd1;
VAR54 <= VAR54;
VAR35 <= VAR35;
VAR36 <= 3'd0;
VAR105 <= VAR105;
VAR16 <= VAR16;
VAR72 <= 1'd0;
VAR43 <= VAR43;
VAR59 <= 1'd0;
VAR50 <= VAR50;
VAR12 <= 1'd0;
VAR26 <= 1'd0;
end
VAR42 :
begin
VAR9 <= ((VAR36 == 3'd2) ? VAR18 : VAR42);
VAR137 <= VAR137;
VAR110 <= 1'd0;
VAR54 <= VAR140;
VAR35 <= {VAR46, VAR35[17:6]};
VAR36 <= VAR36 + 2'd1;
VAR105 <= VAR105;
VAR16 <= VAR16;
VAR72 <= 1'd0;
VAR43 <= VAR43;
VAR59 <= 1'd1;
VAR50 <= VAR50;
VAR12 <= 1'd0;
VAR26 <= 1'd0;
end
VAR18 :
begin
VAR9 <= ((VAR36 == 3'd7) ? VAR100 : VAR18);
VAR137 <= VAR137;
VAR110 <= 1'd0;
VAR54 <= VAR54;
VAR35 <= VAR35;
VAR36 <= VAR36 + 2'd1;
VAR105 <= VAR105;
VAR16 <= ((VAR36 == 3'd7) ? VAR11 : VAR16);
VAR72 <= 1'd0;
VAR43 <= VAR43;
VAR59 <= 1'd1;
VAR50 <= VAR50;
VAR12 <= 1'd0;
VAR26 <= 1'd0;
end
VAR100 :
begin
VAR137 <= VAR137;
VAR110 <= 1'd0;
VAR54 <= VAR54;
VAR35 <= VAR35;
VAR36 <= 3'd0;
VAR105 <= VAR105;
VAR16 <= VAR16;
if (VAR40)
begin
VAR9 <= VAR139;
VAR72 <= 1'd0;
VAR43 <= VAR28 ? {14'd0, VAR32[3:0]} : VAR32;
VAR59 <= VAR28;
VAR50 <= VAR10 || VAR50;
VAR12 <= VAR10 || VAR50;
VAR26 <= 1'd1;
end
else
begin
VAR9 <= VAR100;
VAR72 <= 1'd1;
VAR43 <= VAR43;
VAR59 <= 1'd0;
VAR50 <= VAR50;
VAR12 <= 1'd0;
VAR26 <= 1'd0;
end
end
VAR139 :
begin
VAR9 <= ((VAR33 == 2'd0) ? VAR70 : VAR139);
VAR137 <= VAR137;
VAR110 <= 1'd0;
VAR54 <= VAR54;
VAR35 <= VAR35;
VAR36 <= 3'd0;
VAR105 <= VAR105;
VAR16 <= VAR16;
VAR72 <= 1'd0;
VAR43 <= VAR43;
VAR59 <= VAR59;
VAR50 <= VAR50;
VAR12 <= VAR12;
VAR26 <= 1'd1;
end
default :
begin
VAR9 <= VAR70;
VAR137 <= 3'd0;
VAR110 <= 1'd0;
VAR54 <= 4'd0;
VAR35 <= 18'd0;
VAR36 <= 3'd0;
VAR105 <= 6'd0;
VAR16 <= 6'd0;
VAR72 <= 1'd0;
VAR43 <= 18'd0;
VAR59 <= 1'd0;
VAR50 <= 1'd0;
VAR12 <= 1'd0;
VAR26 <= 1'd0;
end
endcase
end
end
VAR117 #
(
.VAR101 (VAR101),
.VAR109 (VAR109),
.VAR121 (VAR121)
)
VAR56
(
.VAR91 (VAR126),
.VAR62 (VAR112),
.VAR84 (VAR33),
.VAR24 (VAR105),
.VAR75 (VAR16),
.VAR3 (VAR137),
.VAR108 (VAR110),
.VAR1 (VAR54),
.VAR8 (VAR35),
.VAR136 (VAR72),
.VAR123 (VAR130),
.VAR2 (VAR32),
.VAR73 (VAR40),
.VAR138 (VAR28),
.VAR119 (VAR10)
);
assign VAR41 = VAR39[0];
assign VAR90 = VAR63 ? VAR39[ 4: 0] : 5'h00;
assign VAR114 = VAR63 ? VAR39[12: 6] : 7'h00;
assign VAR53 = VAR63 ? VAR39[17:13] : 5'h00;
assign VAR5 = {1'd0, VAR39[18:14], VAR39[12:7], 1'd0, VAR39[5:1]}; assign VAR68 = VAR128;
assign VAR99 = VAR23;
assign VAR133 = VAR37 ? VAR122 : VAR43;
assign VAR107 = VAR37 ? VAR27 : VAR59;
assign VAR82 = VAR12;
assign VAR124 = VAR26;
assign VAR80 = VAR9;
endmodule
|
mit
|
ahmed-agiza/LCSTA
|
Sample Files/mac.v
| 12,513 |
module MODULE5 (sum, VAR100, VAR37, VAR111, VAR247, VAR53);
output sum;
output VAR100;
output VAR37;
input VAR111;
input VAR247;
input VAR53;
assign sum = VAR111 ^ VAR247 ^ VAR53;
assign VAR100 = VAR111 & VAR247;
assign VAR37 = VAR111 | VAR247;
endmodule
module MODULE9 (VAR242, VAR76, clk, reset);
output [16:0] VAR242;
input [16:0] VAR76;
input clk, reset;
reg [16:0] VAR242;
always @ (posedge clk or negedge reset)
if (reset == 0)
VAR242 <= 0;
else
VAR242 <= VAR76;
endmodule
module MODULE8 (VAR165, VAR160, VAR228, VAR31, VAR10);
input VAR228;
input VAR31;
input VAR10;
output VAR165;
output VAR160;
wire VAR21;
wire VAR55;
wire VAR134;
wire VAR234;
xor VAR92(VAR21, VAR228, VAR31);
xor VAR35(VAR165, VAR21, VAR10);
nand VAR130(VAR55, VAR228, VAR31);
nand VAR163(VAR134, VAR228, VAR10);
nand VAR63(VAR234, VAR31, VAR10);
assign VAR160 = ~ (VAR55 & VAR134 & VAR234);
endmodule
module MODULE2 (VAR165, VAR160, VAR228, VAR31, VAR29, VAR10);
input VAR228;
input VAR31;
input VAR29;
input VAR10;
output VAR165;
output VAR160;
wire VAR107;
wire VAR21;
wire VAR55;
wire VAR134;
wire VAR234;
and VAR73(VAR107, VAR228, VAR31);
xor VAR92(VAR21, VAR107, VAR29);
xor VAR35(VAR165, VAR21, VAR10);
nand VAR130(VAR55, VAR107, VAR29);
nand VAR163(VAR134, VAR107, VAR10);
nand VAR63(VAR234, VAR29, VAR10);
assign VAR160 = ~ (VAR55 & VAR134 & VAR234);
endmodule
module MODULE3 (VAR165, VAR160, VAR228, VAR31, VAR29, VAR10);
input VAR228;
input VAR31;
input VAR29;
input VAR10;
output VAR165;
output VAR160;
wire VAR107;
wire VAR21;
wire VAR55;
wire VAR134;
wire VAR234;
nand VAR158(VAR107, VAR228, VAR31);
xor VAR92(VAR21, VAR107, VAR29);
xor VAR35(VAR165, VAR21, VAR10);
nand VAR130(VAR55, VAR107, VAR29);
nand VAR163(VAR134, VAR107, VAR10);
nand VAR63(VAR234, VAR29, VAR10);
assign VAR160 = ~ (VAR55 & VAR134 & VAR234);
endmodule
module MODULE6 (VAR165, VAR160, VAR228, VAR31, VAR29);
input VAR228;
input VAR31;
input VAR29;
output VAR165;
output VAR160;
wire VAR21;
and VAR153(VAR21, VAR228, VAR31);
xor VAR92(VAR165, VAR21, VAR29);
and VAR73(VAR160, VAR21, VAR29);
endmodule
module MODULE10(sum, VAR111, VAR247);
output [16:0] sum;
input [15:0] VAR111,VAR247;
wire [14:0] VAR176;
wire [15:0] VAR100, VAR37;
wire [4:0] VAR172, VAR223;
MODULE5 MODULE56(sum[0], VAR100[0], VAR37[0], VAR111[0], VAR247[0], 1'b0);
MODULE5 MODULE29(sum[1], VAR100[1], VAR37[1], VAR111[1], VAR247[1], VAR176[0]);
MODULE5 MODULE58(sum[2], VAR100[2], VAR37[2], VAR111[2], VAR247[2], VAR176[1]);
MODULE5 MODULE18(sum[3], VAR100[3], VAR37[3], VAR111[3], VAR247[3], VAR176[2]);
MODULE4 MODULE19(VAR176[2:0], VAR172[0], VAR223[0], VAR100[3:0], VAR37[3:0], 1'b0);
MODULE5 MODULE15(sum[4], VAR100[4], VAR37[4], VAR111[4], VAR247[4], VAR176[3]);
MODULE5 MODULE75(sum[5], VAR100[5], VAR37[5], VAR111[5], VAR247[5], VAR176[4]);
MODULE5 MODULE61(sum[6], VAR100[6], VAR37[6], VAR111[6], VAR247[6], VAR176[5]);
MODULE5 MODULE67(sum[7], VAR100[7], VAR37[7], VAR111[7], VAR247[7], VAR176[6]);
MODULE4 MODULE9(VAR176[6:4], VAR172[1], VAR223[1], VAR100[7:4], VAR37[7:4], VAR176[3]);
MODULE5 MODULE17(sum[8], VAR100[8], VAR37[8], VAR111[8], VAR247[8], VAR176[7]);
MODULE5 MODULE10(sum[9], VAR100[9], VAR37[9], VAR111[9], VAR247[9], VAR176[8]);
MODULE5 MODULE30(sum[10], VAR100[10], VAR37[10], VAR111[10], VAR247[10], VAR176[9]);
MODULE5 MODULE4(sum[11], VAR100[11], VAR37[11], VAR111[11], VAR247[11], VAR176[10]);
MODULE4 MODULE24(VAR176[10:8], VAR172[2], VAR223[2], VAR100[11:8], VAR37[11:8], VAR176[7]);
MODULE5 MODULE63(sum[12], VAR100[12], VAR37[12], VAR111[12], VAR247[12], VAR176[11]);
MODULE5 MODULE76(sum[13], VAR100[13], VAR37[13], VAR111[13], VAR247[13], VAR176[12]);
MODULE5 MODULE1(sum[14], VAR100[14], VAR37[14], VAR111[14], VAR247[14], VAR176[13]);
MODULE5 MODULE16(sum[15], VAR100[15], VAR37[15], VAR111[15], VAR247[15], VAR176[14]);
MODULE4 MODULE55(VAR176[14:12], VAR172[3], VAR223[3], VAR100[15:12], VAR37[15:12], VAR176[11]);
MODULE4 MODULE45({VAR176[11], VAR176[7], VAR176[3]}, VAR172[4], VAR223[4], {VAR172[3], VAR172[2], VAR172[1], VAR172[0]}, {VAR223[3], VAR223[2], VAR223[1], VAR223[0]}, 1'b0);
assign sum[16] = VAR172[4];
endmodule
module MODULE4 (VAR89, VAR172, VAR223, VAR100, VAR37, VAR53);
output [2:0] VAR89;
output VAR172;
output VAR223;
input [3:0] VAR100;
input [3:0] VAR37;
input VAR53;
wire VAR201, VAR149, VAR167, VAR40, VAR138, VAR204;
wire VAR208, VAR30, VAR181;
and VAR73(VAR201, VAR37[0], VAR53);
or o1(VAR89[0], VAR100[0], VAR201);
and VAR79(VAR149, VAR37[1], VAR100[0]);
assign VAR167 = VAR37[1] & VAR37[0] & VAR53;
assign VAR89[1] = VAR100[1] | VAR149 | VAR149;
and VAR212(VAR40, VAR37[2], VAR100[1]);
assign VAR138 = VAR37[2] & VAR37[1] & VAR100[0];
assign VAR204 = VAR37[2]& VAR37[1]& VAR37[0]& VAR53;
assign VAR89[2] = VAR100[2] | VAR40 | VAR138 | VAR204;
and VAR191(VAR208, VAR37[3], VAR100[2]);
assign VAR30 = VAR37[3] & VAR37[2] & VAR100[1];
assign VAR181 = VAR37[3] & VAR37[2] & VAR37[1] & VAR100[0];
assign VAR172= VAR100[3]| VAR208| VAR30| VAR181;
assign VAR223= VAR37[0]& VAR37[1]& VAR37[2]& VAR37[3];
endmodule
module MODULE7 (VAR70, VAR228, VAR31);
input [7:0] VAR228;
input [7:0] VAR31;
output [15:0] VAR70;
wire VAR58,VAR245,VAR6,VAR20,VAR112,VAR154,VAR127;
wire VAR45,VAR77,VAR218,VAR244,VAR156,VAR164,VAR97;
wire VAR15,VAR95,VAR18,VAR177,VAR24,VAR2,VAR61;
wire VAR11,VAR203,VAR236,VAR237,VAR121,VAR141,VAR65;
wire VAR195,VAR169,VAR243,VAR229,VAR192,VAR140,VAR99;
wire VAR170,VAR199,VAR66,VAR39,VAR44,VAR96,VAR210;
wire VAR69,VAR161,VAR131,VAR5,VAR194,VAR183,VAR102;
wire VAR32,VAR180,VAR68,VAR54,VAR104,VAR22,VAR67;
wire VAR101,VAR119,VAR145,VAR64,VAR231,VAR233,VAR173;
wire VAR206,VAR251,VAR86,VAR152,VAR57,VAR246,VAR171;
wire VAR224,VAR49,VAR36,VAR93,VAR211,VAR135,VAR187;
wire VAR222,VAR179,VAR56,VAR213,VAR220,VAR198,VAR168;
wire VAR202,VAR147,VAR94,VAR51,VAR129,VAR78,VAR132;
wire VAR98,VAR124,VAR75,VAR232,VAR230,VAR108,VAR90;
wire VAR143,VAR190,VAR144,VAR26,VAR126,VAR120,VAR12;
wire VAR17,VAR8,VAR185,VAR159,VAR41,VAR238,VAR114;
and VAR219(VAR70[0] , VAR228[0], VAR31[0]);
and VAR209(VAR58 ,VAR228[1], VAR31[0]);
and VAR118(VAR245 ,VAR228[2], VAR31[0]);
and VAR80(VAR6 ,VAR228[3], VAR31[0]);
and VAR142(VAR20 ,VAR228[4], VAR31[0]);
and VAR137(VAR112 ,VAR228[5], VAR31[0]);
and VAR7(VAR154 ,VAR228[6], VAR31[0]);
nand VAR14(VAR127 ,VAR228[7], VAR31[0]);
MODULE6 MODULE27(.VAR165(VAR70[1]), .VAR160(VAR45), .VAR228(VAR228[0]), .VAR31(VAR31[1]), .VAR29(VAR58));
MODULE6 MODULE49(.VAR165(VAR15), .VAR160(VAR77), .VAR228(VAR228[1]), .VAR31(VAR31[1]), .VAR29(VAR245));
MODULE6 MODULE2(.VAR165(VAR95), .VAR160(VAR218), .VAR228(VAR228[2]), .VAR31(VAR31[1]), .VAR29(VAR6));
MODULE6 MODULE35(.VAR165(VAR18), .VAR160(VAR244), .VAR228(VAR228[3]), .VAR31(VAR31[1]), .VAR29(VAR20));
MODULE6 MODULE46(.VAR165(VAR177), .VAR160(VAR156), .VAR228(VAR228[4]), .VAR31(VAR31[1]), .VAR29(VAR112));
MODULE6 MODULE57(.VAR165(VAR24), .VAR160(VAR164), .VAR228(VAR228[5]), .VAR31(VAR31[1]), .VAR29(VAR154));
MODULE6 MODULE71(.VAR165(VAR2), .VAR160(VAR97), .VAR228(VAR228[6]), .VAR31(VAR31[1]), .VAR29(VAR127));
nand VAR151(VAR61, VAR228[7], VAR31[1]);
MODULE2 MODULE22(.VAR165(VAR70[2]), .VAR160(VAR11), .VAR228(VAR228[0]), .VAR31(VAR31[2]), .VAR29(VAR15), .VAR10(VAR45));
MODULE2 MODULE20(.VAR165(VAR195), .VAR160(VAR203), .VAR228(VAR228[1]), .VAR31(VAR31[2]), .VAR29(VAR95), .VAR10(VAR77));
MODULE2 MODULE37(.VAR165(VAR169), .VAR160(VAR236), .VAR228(VAR228[2]), .VAR31(VAR31[2]), .VAR29(VAR18), .VAR10(VAR218));
MODULE2 MODULE78(.VAR165(VAR243), .VAR160(VAR237), .VAR228(VAR228[3]), .VAR31(VAR31[2]), .VAR29(VAR177), .VAR10(VAR244));
MODULE2 MODULE72(.VAR165(VAR229), .VAR160(VAR121), .VAR228(VAR228[4]), .VAR31(VAR31[2]), .VAR29(VAR24), .VAR10(VAR156));
MODULE2 MODULE40(.VAR165(VAR192), .VAR160(VAR141), .VAR228(VAR228[5]), .VAR31(VAR31[2]), .VAR29(VAR2), .VAR10(VAR164));
MODULE2 MODULE21(.VAR165(VAR140), .VAR160(VAR65), .VAR228(VAR228[6]), .VAR31(VAR31[2]), .VAR29(VAR61), .VAR10(VAR97));
nand VAR253(VAR99, VAR228[7], VAR31[2]);
MODULE2 MODULE53(.VAR165(VAR70[3]), .VAR160(VAR170), .VAR228(VAR228[0]), .VAR31(VAR31[3]), .VAR29(VAR195), .VAR10(VAR11));
MODULE2 MODULE6(.VAR165(VAR69), .VAR160(VAR199), .VAR228(VAR228[1]), .VAR31(VAR31[3]), .VAR29(VAR169), .VAR10(VAR203));
MODULE2 MODULE79(.VAR165(VAR161), .VAR160(VAR66), .VAR228(VAR228[2]), .VAR31(VAR31[3]), .VAR29(VAR243), .VAR10(VAR236));
MODULE2 MODULE59(.VAR165(VAR131), .VAR160(VAR39), .VAR228(VAR228[3]), .VAR31(VAR31[3]), .VAR29(VAR229), .VAR10(VAR237));
MODULE2 MODULE13(.VAR165(VAR5), .VAR160(VAR44), .VAR228(VAR228[4]), .VAR31(VAR31[3]), .VAR29(VAR192), .VAR10(VAR121));
MODULE2 MODULE70(.VAR165(VAR194), .VAR160(VAR96), .VAR228(VAR228[5]), .VAR31(VAR31[3]), .VAR29(VAR140), .VAR10(VAR141));
MODULE2 MODULE25(.VAR165(VAR183), .VAR160(VAR210), .VAR228(VAR228[6]), .VAR31(VAR31[3]), .VAR29(VAR99), .VAR10(VAR65));
nand VAR216(VAR102, VAR228[7], VAR31[3]);
MODULE2 MODULE66(.VAR165(VAR70[4]), .VAR160(VAR32), .VAR228(VAR228[0]), .VAR31(VAR31[4]), .VAR29(VAR69), .VAR10(VAR170));
MODULE2 MODULE74(.VAR165(VAR101), .VAR160(VAR180), .VAR228(VAR228[1]), .VAR31(VAR31[4]), .VAR29(VAR161), .VAR10(VAR199));
MODULE2 MODULE64(.VAR165(VAR119), .VAR160(VAR68), .VAR228(VAR228[2]), .VAR31(VAR31[4]), .VAR29(VAR131), .VAR10(VAR66));
MODULE2 MODULE73(.VAR165(VAR145), .VAR160(VAR54), .VAR228(VAR228[3]), .VAR31(VAR31[4]), .VAR29(VAR5), .VAR10(VAR39));
MODULE2 MODULE31(.VAR165(VAR64), .VAR160(VAR104), .VAR228(VAR228[4]), .VAR31(VAR31[4]), .VAR29(VAR194), .VAR10(VAR44));
MODULE2 MODULE50(.VAR165(VAR231), .VAR160(VAR22), .VAR228(VAR228[5]), .VAR31(VAR31[4]), .VAR29(VAR183), .VAR10(VAR96));
MODULE2 MODULE68(.VAR165(VAR233), .VAR160(VAR67), .VAR228(VAR228[6]), .VAR31(VAR31[4]), .VAR29(VAR102), .VAR10(VAR210));
nand VAR240(VAR173, VAR228[7], VAR31[4]);
MODULE2 MODULE34(.VAR165(VAR70[5]), .VAR160(VAR206), .VAR228(VAR228[0]), .VAR31(VAR31[5]), .VAR29(VAR101), .VAR10(VAR32));
MODULE2 MODULE38(.VAR165(VAR224), .VAR160(VAR251), .VAR228(VAR228[1]), .VAR31(VAR31[5]), .VAR29(VAR119), .VAR10(VAR180));
MODULE2 MODULE54(.VAR165(VAR49), .VAR160(VAR86), .VAR228(VAR228[2]), .VAR31(VAR31[5]), .VAR29(VAR145), .VAR10(VAR68));
MODULE2 MODULE69(.VAR165(VAR36), .VAR160(VAR152), .VAR228(VAR228[3]), .VAR31(VAR31[5]), .VAR29(VAR64), .VAR10(VAR54));
MODULE2 MODULE36(.VAR165(VAR93), .VAR160(VAR57), .VAR228(VAR228[4]), .VAR31(VAR31[5]), .VAR29(VAR231), .VAR10(VAR104));
MODULE2 MODULE77(.VAR165(VAR211), .VAR160(VAR246), .VAR228(VAR228[5]), .VAR31(VAR31[5]), .VAR29(VAR233), .VAR10(VAR22));
MODULE2 MODULE7(.VAR165(VAR135), .VAR160(VAR171), .VAR228(VAR228[6]), .VAR31(VAR31[5]), .VAR29(VAR173), .VAR10(VAR67));
nand VAR148(VAR187, VAR228[7], VAR31[5]);
MODULE2 MODULE5(.VAR165(VAR70[6]), .VAR160(VAR222), .VAR228(VAR228[0]), .VAR31(VAR31[6]), .VAR29(VAR224), .VAR10(VAR206));
MODULE2 MODULE14(.VAR165(VAR202), .VAR160(VAR179), .VAR228(VAR228[1]), .VAR31(VAR31[6]), .VAR29(VAR49), .VAR10(VAR251));
MODULE2 MODULE11(.VAR165(VAR147), .VAR160(VAR56), .VAR228(VAR228[2]), .VAR31(VAR31[6]), .VAR29(VAR36), .VAR10(VAR86));
MODULE2 MODULE42(.VAR165(VAR94), .VAR160(VAR213), .VAR228(VAR228[3]), .VAR31(VAR31[6]), .VAR29(VAR93), .VAR10(VAR152));
MODULE2 MODULE48(.VAR165(VAR51), .VAR160(VAR220), .VAR228(VAR228[4]), .VAR31(VAR31[6]), .VAR29(VAR211), .VAR10(VAR57));
MODULE2 MODULE39(.VAR165(VAR129), .VAR160(VAR198), .VAR228(VAR228[5]), .VAR31(VAR31[6]), .VAR29(VAR135), .VAR10(VAR246));
MODULE2 MODULE33(.VAR165(VAR78), .VAR160(VAR168), .VAR228(VAR228[6]), .VAR31(VAR31[6]), .VAR29(VAR187), .VAR10(VAR171));
nand VAR46(VAR132, VAR228[7], VAR31[6]);
MODULE3 MODULE43(.VAR165(VAR70[7]), .VAR160(VAR98), .VAR228(VAR228[0]), .VAR31(VAR31[7]), .VAR29(VAR202), .VAR10(VAR222));
MODULE3 MODULE41(.VAR165(VAR143), .VAR160(VAR124), .VAR228(VAR228[1]), .VAR31(VAR31[7]), .VAR29(VAR147), .VAR10(VAR179));
MODULE3 MODULE65(.VAR165(VAR190), .VAR160(VAR75), .VAR228(VAR228[2]), .VAR31(VAR31[7]), .VAR29(VAR94), .VAR10(VAR56));
MODULE3 MODULE12(.VAR165(VAR144), .VAR160(VAR232), .VAR228(VAR228[3]), .VAR31(VAR31[7]), .VAR29(VAR51), .VAR10(VAR213));
MODULE3 MODULE51(.VAR165(VAR26), .VAR160(VAR230), .VAR228(VAR228[4]), .VAR31(VAR31[7]), .VAR29(VAR129), .VAR10(VAR220));
MODULE3 MODULE23(.VAR165(VAR126), .VAR160(VAR108), .VAR228(VAR228[5]), .VAR31(VAR31[7]), .VAR29(VAR78), .VAR10(VAR198));
MODULE3 MODULE26(.VAR165(VAR120), .VAR160(VAR90), .VAR228(VAR228[6]), .VAR31(VAR31[7]), .VAR29(VAR132), .VAR10(VAR168));
and VAR215(VAR12, VAR228[7], VAR31[7]);
MODULE8 MODULE3(.VAR165(VAR70[8]), .VAR160(VAR17), .VAR228(VAR98), .VAR31(VAR143), .VAR10(1'b1));
MODULE8 MODULE52(.VAR165(VAR70[9]), .VAR160(VAR8), .VAR228(VAR124), .VAR31(VAR190), .VAR10(VAR17));
MODULE8 MODULE47(.VAR165(VAR70[10]), .VAR160(VAR185), .VAR228(VAR75), .VAR31(VAR144), .VAR10(VAR8));
MODULE8 MODULE60(.VAR165(VAR70[11]), .VAR160(VAR159), .VAR228(VAR232), .VAR31(VAR26), .VAR10(VAR185));
MODULE8 MODULE62(.VAR165(VAR70[12]), .VAR160(VAR41), .VAR228(VAR230), .VAR31(VAR126), .VAR10(VAR159));
MODULE8 MODULE8(.VAR165(VAR70[13]), .VAR160(VAR238), .VAR228(VAR108), .VAR31(VAR120), .VAR10(VAR41));
MODULE8 MODULE28(.VAR165(VAR70[14]), .VAR160(VAR114), .VAR228(VAR90), .VAR31(VAR12), .VAR10(VAR238));
not VAR91(VAR70[15], VAR114);
endmodule
module MODULE1(VAR162, VAR111, VAR247, reset, clk);
output [16:0] VAR162;
input [7:0] VAR111;
input [7:0] VAR247;
input reset;
input clk;
wire [15:0] VAR103;
wire [16:0] sum;
MODULE7 MODULE32(VAR103, VAR111, VAR247);
MODULE10 MODULE80(sum, VAR103, VAR162[15:0]);
MODULE9 MODULE44(VAR162, sum, clk, reset);
endmodule
|
gpl-2.0
|
YoelRP/PROYECTO
|
bin/enpoint/DATA/CRC16_D1024.v
| 76,279 |
module MODULE1(
VAR4,
VAR2,
VAR6
);
output reg [15:0] VAR4;
input wire [1023:0] VAR2;
input wire [15:0] VAR6;
reg [1023:0] VAR5;
reg [15:0] VAR3;
reg [15:0] VAR1;
always @ (*)
begin
VAR5 = VAR2;
VAR3 = VAR6;
VAR1[0] = VAR5[1023] ^ VAR5[1021] ^ VAR5[1020] ^ VAR5[1017] ^ VAR5[1016] ^ VAR5[1014] ^ VAR5[1012] ^ VAR5[1011] ^ VAR5[1006] ^ VAR5[1002] ^ VAR5[999] ^ VAR5[998] ^ VAR5[997] ^ VAR5[996] ^ VAR5[995] ^ VAR5[993] ^ VAR5[992] ^ VAR5[991] ^ VAR5[990] ^ VAR5[988] ^ VAR5[986] ^ VAR5[984] ^ VAR5[983] ^ VAR5[982] ^ VAR5[981] ^ VAR5[979] ^ VAR5[976] ^ VAR5[975] ^ VAR5[974] ^ VAR5[970] ^ VAR5[968] ^ VAR5[966] ^ VAR5[964] ^ VAR5[963] ^ VAR5[962] ^ VAR5[961] ^ VAR5[960] ^ VAR5[958] ^ VAR5[957] ^ VAR5[955] ^ VAR5[953] ^ VAR5[952] ^ VAR5[951] ^ VAR5[950] ^ VAR5[949] ^ VAR5[948] ^ VAR5[946] ^ VAR5[945] ^ VAR5[942] ^ VAR5[941] ^ VAR5[938] ^ VAR5[937] ^ VAR5[936] ^ VAR5[935] ^ VAR5[933] ^ VAR5[931] ^ VAR5[930] ^ VAR5[928] ^ VAR5[926] ^ VAR5[925] ^ VAR5[924] ^ VAR5[922] ^ VAR5[921] ^ VAR5[919] ^ VAR5[918] ^ VAR5[915] ^ VAR5[914] ^ VAR5[910] ^ VAR5[909] ^ VAR5[908] ^ VAR5[907] ^ VAR5[904] ^ VAR5[903] ^ VAR5[902] ^ VAR5[898] ^ VAR5[896] ^ VAR5[895] ^ VAR5[894] ^ VAR5[891] ^ VAR5[889] ^ VAR5[888] ^ VAR5[887] ^ VAR5[886] ^ VAR5[882] ^ VAR5[881] ^ VAR5[880] ^ VAR5[878] ^ VAR5[875] ^ VAR5[874] ^ VAR5[872] ^ VAR5[871] ^ VAR5[870] ^ VAR5[868] ^ VAR5[867] ^ VAR5[866] ^ VAR5[864] ^ VAR5[862] ^ VAR5[861] ^ VAR5[860] ^ VAR5[859] ^ VAR5[857] ^ VAR5[856] ^ VAR5[855] ^ VAR5[854] ^ VAR5[852] ^ VAR5[850] ^ VAR5[846] ^ VAR5[845] ^ VAR5[842] ^ VAR5[840] ^ VAR5[839] ^ VAR5[837] ^ VAR5[836] ^ VAR5[835] ^ VAR5[834] ^ VAR5[833] ^ VAR5[832] ^ VAR5[831] ^ VAR5[830] ^ VAR5[822] ^ VAR5[816] ^ VAR5[815] ^ VAR5[814] ^ VAR5[811] ^ VAR5[809] ^ VAR5[803] ^ VAR5[802] ^ VAR5[800] ^ VAR5[793] ^ VAR5[791] ^ VAR5[790] ^ VAR5[787] ^ VAR5[785] ^ VAR5[784] ^ VAR5[782] ^ VAR5[781] ^ VAR5[780] ^ VAR5[778] ^ VAR5[776] ^ VAR5[775] ^ VAR5[774] ^ VAR5[772] ^ VAR5[769] ^ VAR5[767] ^ VAR5[765] ^ VAR5[764] ^ VAR5[763] ^ VAR5[762] ^ VAR5[760] ^ VAR5[756] ^ VAR5[752] ^ VAR5[751] ^ VAR5[750] ^ VAR5[749] ^ VAR5[745] ^ VAR5[744] ^ VAR5[740] ^ VAR5[738] ^ VAR5[737] ^ VAR5[736] ^ VAR5[734] ^ VAR5[733] ^ VAR5[731] ^ VAR5[728] ^ VAR5[725] ^ VAR5[723] ^ VAR5[719] ^ VAR5[717] ^ VAR5[716] ^ VAR5[715] ^ VAR5[714] ^ VAR5[713] ^ VAR5[710] ^ VAR5[708] ^ VAR5[704] ^ VAR5[703] ^ VAR5[702] ^ VAR5[700] ^ VAR5[698] ^ VAR5[696] ^ VAR5[695] ^ VAR5[694] ^ VAR5[693] ^ VAR5[689] ^ VAR5[688] ^ VAR5[687] ^ VAR5[684] ^ VAR5[682] ^ VAR5[681] ^ VAR5[680] ^ VAR5[678] ^ VAR5[677] ^ VAR5[672] ^ VAR5[671] ^ VAR5[670] ^ VAR5[669] ^ VAR5[667] ^ VAR5[666] ^ VAR5[665] ^ VAR5[659] ^ VAR5[658] ^ VAR5[657] ^ VAR5[656] ^ VAR5[654] ^ VAR5[653] ^ VAR5[652] ^ VAR5[650] ^ VAR5[648] ^ VAR5[646] ^ VAR5[644] ^ VAR5[641] ^ VAR5[639] ^ VAR5[637] ^ VAR5[636] ^ VAR5[634] ^ VAR5[632] ^ VAR5[631] ^ VAR5[630] ^ VAR5[628] ^ VAR5[626] ^ VAR5[625] ^ VAR5[624] ^ VAR5[622] ^ VAR5[620] ^ VAR5[617] ^ VAR5[613] ^ VAR5[612] ^ VAR5[609] ^ VAR5[608] ^ VAR5[607] ^ VAR5[606] ^ VAR5[605] ^ VAR5[603] ^ VAR5[602] ^ VAR5[601] ^ VAR5[598] ^ VAR5[597] ^ VAR5[596] ^ VAR5[595] ^ VAR5[594] ^ VAR5[593] ^ VAR5[591] ^ VAR5[590] ^ VAR5[589] ^ VAR5[588] ^ VAR5[587] ^ VAR5[586] ^ VAR5[585] ^ VAR5[582] ^ VAR5[581] ^ VAR5[577] ^ VAR5[571] ^ VAR5[561] ^ VAR5[559] ^ VAR5[556] ^ VAR5[555] ^ VAR5[554] ^ VAR5[551] ^ VAR5[550] ^ VAR5[547] ^ VAR5[546] ^ VAR5[544] ^ VAR5[541] ^ VAR5[540] ^ VAR5[539] ^ VAR5[536] ^ VAR5[535] ^ VAR5[534] ^ VAR5[532] ^ VAR5[525] ^ VAR5[522] ^ VAR5[520] ^ VAR5[519] ^ VAR5[518] ^ VAR5[517] ^ VAR5[516] ^ VAR5[515] ^ VAR5[514] ^ VAR5[512] ^ VAR5[511] ^ VAR5[508] ^ VAR5[506] ^ VAR5[505] ^ VAR5[503] ^ VAR5[500] ^ VAR5[494] ^ VAR5[493] ^ VAR5[492] ^ VAR5[491] ^ VAR5[490] ^ VAR5[486] ^ VAR5[485] ^ VAR5[484] ^ VAR5[482] ^ VAR5[476] ^ VAR5[475] ^ VAR5[473] ^ VAR5[472] ^ VAR5[471] ^ VAR5[470] ^ VAR5[469] ^ VAR5[467] ^ VAR5[465] ^ VAR5[463] ^ VAR5[462] ^ VAR5[461] ^ VAR5[460] ^ VAR5[457] ^ VAR5[455] ^ VAR5[454] ^ VAR5[452] ^ VAR5[449] ^ VAR5[448] ^ VAR5[446] ^ VAR5[442] ^ VAR5[440] ^ VAR5[439] ^ VAR5[438] ^ VAR5[435] ^ VAR5[432] ^ VAR5[430] ^ VAR5[428] ^ VAR5[425] ^ VAR5[424] ^ VAR5[423] ^ VAR5[422] ^ VAR5[417] ^ VAR5[414] ^ VAR5[413] ^ VAR5[412] ^ VAR5[411] ^ VAR5[410] ^ VAR5[402] ^ VAR5[400] ^ VAR5[399] ^ VAR5[396] ^ VAR5[391] ^ VAR5[390] ^ VAR5[388] ^ VAR5[387] ^ VAR5[385] ^ VAR5[382] ^ VAR5[379] ^ VAR5[378] ^ VAR5[377] ^ VAR5[376] ^ VAR5[370] ^ VAR5[369] ^ VAR5[367] ^ VAR5[363] ^ VAR5[361] ^ VAR5[360] ^ VAR5[357] ^ VAR5[356] ^ VAR5[354] ^ VAR5[353] ^ VAR5[352] ^ VAR5[351] ^ VAR5[346] ^ VAR5[342] ^ VAR5[341] ^ VAR5[339] ^ VAR5[338] ^ VAR5[335] ^ VAR5[333] ^ VAR5[330] ^ VAR5[329] ^ VAR5[328] ^ VAR5[327] ^ VAR5[324] ^ VAR5[323] ^ VAR5[321] ^ VAR5[315] ^ VAR5[314] ^ VAR5[313] ^ VAR5[310] ^ VAR5[307] ^ VAR5[303] ^ VAR5[301] ^ VAR5[299] ^ VAR5[298] ^ VAR5[297] ^ VAR5[296] ^ VAR5[295] ^ VAR5[293] ^ VAR5[292] ^ VAR5[291] ^ VAR5[290] ^ VAR5[289] ^ VAR5[288] ^ VAR5[287] ^ VAR5[285] ^ VAR5[283] ^ VAR5[280] ^ VAR5[275] ^ VAR5[274] ^ VAR5[272] ^ VAR5[270] ^ VAR5[268] ^ VAR5[265] ^ VAR5[264] ^ VAR5[262] ^ VAR5[257] ^ VAR5[254] ^ VAR5[253] ^ VAR5[252] ^ VAR5[250] ^ VAR5[247] ^ VAR5[246] ^ VAR5[241] ^ VAR5[240] ^ VAR5[237] ^ VAR5[232] ^ VAR5[231] ^ VAR5[230] ^ VAR5[229] ^ VAR5[228] ^ VAR5[227] ^ VAR5[226] ^ VAR5[225] ^ VAR5[223] ^ VAR5[222] ^ VAR5[219] ^ VAR5[214] ^ VAR5[212] ^ VAR5[207] ^ VAR5[206] ^ VAR5[203] ^ VAR5[201] ^ VAR5[200] ^ VAR5[194] ^ VAR5[190] ^ VAR5[188] ^ VAR5[187] ^ VAR5[184] ^ VAR5[183] ^ VAR5[179] ^ VAR5[178] ^ VAR5[176] ^ VAR5[175] ^ VAR5[173] ^ VAR5[171] ^ VAR5[170] ^ VAR5[165] ^ VAR5[164] ^ VAR5[162] ^ VAR5[161] ^ VAR5[159] ^ VAR5[158] ^ VAR5[156] ^ VAR5[155] ^ VAR5[152] ^ VAR5[151] ^ VAR5[148] ^ VAR5[146] ^ VAR5[145] ^ VAR5[144] ^ VAR5[143] ^ VAR5[142] ^ VAR5[141] ^ VAR5[140] ^ VAR5[139] ^ VAR5[138] ^ VAR5[137] ^ VAR5[136] ^ VAR5[132] ^ VAR5[127] ^ VAR5[123] ^ VAR5[121] ^ VAR5[118] ^ VAR5[115] ^ VAR5[113] ^ VAR5[110] ^ VAR5[109] ^ VAR5[108] ^ VAR5[107] ^ VAR5[106] ^ VAR5[104] ^ VAR5[98] ^ VAR5[96] ^ VAR5[95] ^ VAR5[88] ^ VAR5[86] ^ VAR5[84] ^ VAR5[82] ^ VAR5[81] ^ VAR5[80] ^ VAR5[77] ^ VAR5[75] ^ VAR5[74] ^ VAR5[72] ^ VAR5[70] ^ VAR5[67] ^ VAR5[66] ^ VAR5[65] ^ VAR5[64] ^ VAR5[63] ^ VAR5[58] ^ VAR5[56] ^ VAR5[55] ^ VAR5[52] ^ VAR5[51] ^ VAR5[49] ^ VAR5[48] ^ VAR5[42] ^ VAR5[35] ^ VAR5[33] ^ VAR5[32] ^ VAR5[28] ^ VAR5[27] ^ VAR5[26] ^ VAR5[22] ^ VAR5[20] ^ VAR5[19] ^ VAR5[12] ^ VAR5[11] ^ VAR5[8] ^ VAR5[4] ^ VAR5[0] ^ VAR3[3] ^ VAR3[4] ^ VAR3[6] ^ VAR3[8] ^ VAR3[9] ^ VAR3[12] ^ VAR3[13] ^ VAR3[15];
VAR1[1] = VAR5[1022] ^ VAR5[1021] ^ VAR5[1018] ^ VAR5[1017] ^ VAR5[1015] ^ VAR5[1013] ^ VAR5[1012] ^ VAR5[1007] ^ VAR5[1003] ^ VAR5[1000] ^ VAR5[999] ^ VAR5[998] ^ VAR5[997] ^ VAR5[996] ^ VAR5[994] ^ VAR5[993] ^ VAR5[992] ^ VAR5[991] ^ VAR5[989] ^ VAR5[987] ^ VAR5[985] ^ VAR5[984] ^ VAR5[983] ^ VAR5[982] ^ VAR5[980] ^ VAR5[977] ^ VAR5[976] ^ VAR5[975] ^ VAR5[971] ^ VAR5[969] ^ VAR5[967] ^ VAR5[965] ^ VAR5[964] ^ VAR5[963] ^ VAR5[962] ^ VAR5[961] ^ VAR5[959] ^ VAR5[958] ^ VAR5[956] ^ VAR5[954] ^ VAR5[953] ^ VAR5[952] ^ VAR5[951] ^ VAR5[950] ^ VAR5[949] ^ VAR5[947] ^ VAR5[946] ^ VAR5[943] ^ VAR5[942] ^ VAR5[939] ^ VAR5[938] ^ VAR5[937] ^ VAR5[936] ^ VAR5[934] ^ VAR5[932] ^ VAR5[931] ^ VAR5[929] ^ VAR5[927] ^ VAR5[926] ^ VAR5[925] ^ VAR5[923] ^ VAR5[922] ^ VAR5[920] ^ VAR5[919] ^ VAR5[916] ^ VAR5[915] ^ VAR5[911] ^ VAR5[910] ^ VAR5[909] ^ VAR5[908] ^ VAR5[905] ^ VAR5[904] ^ VAR5[903] ^ VAR5[899] ^ VAR5[897] ^ VAR5[896] ^ VAR5[895] ^ VAR5[892] ^ VAR5[890] ^ VAR5[889] ^ VAR5[888] ^ VAR5[887] ^ VAR5[883] ^ VAR5[882] ^ VAR5[881] ^ VAR5[879] ^ VAR5[876] ^ VAR5[875] ^ VAR5[873] ^ VAR5[872] ^ VAR5[871] ^ VAR5[869] ^ VAR5[868] ^ VAR5[867] ^ VAR5[865] ^ VAR5[863] ^ VAR5[862] ^ VAR5[861] ^ VAR5[860] ^ VAR5[858] ^ VAR5[857] ^ VAR5[856] ^ VAR5[855] ^ VAR5[853] ^ VAR5[851] ^ VAR5[847] ^ VAR5[846] ^ VAR5[843] ^ VAR5[841] ^ VAR5[840] ^ VAR5[838] ^ VAR5[837] ^ VAR5[836] ^ VAR5[835] ^ VAR5[834] ^ VAR5[833] ^ VAR5[832] ^ VAR5[831] ^ VAR5[823] ^ VAR5[817] ^ VAR5[816] ^ VAR5[815] ^ VAR5[812] ^ VAR5[810] ^ VAR5[804] ^ VAR5[803] ^ VAR5[801] ^ VAR5[794] ^ VAR5[792] ^ VAR5[791] ^ VAR5[788] ^ VAR5[786] ^ VAR5[785] ^ VAR5[783] ^ VAR5[782] ^ VAR5[781] ^ VAR5[779] ^ VAR5[777] ^ VAR5[776] ^ VAR5[775] ^ VAR5[773] ^ VAR5[770] ^ VAR5[768] ^ VAR5[766] ^ VAR5[765] ^ VAR5[764] ^ VAR5[763] ^ VAR5[761] ^ VAR5[757] ^ VAR5[753] ^ VAR5[752] ^ VAR5[751] ^ VAR5[750] ^ VAR5[746] ^ VAR5[745] ^ VAR5[741] ^ VAR5[739] ^ VAR5[738] ^ VAR5[737] ^ VAR5[735] ^ VAR5[734] ^ VAR5[732] ^ VAR5[729] ^ VAR5[726] ^ VAR5[724] ^ VAR5[720] ^ VAR5[718] ^ VAR5[717] ^ VAR5[716] ^ VAR5[715] ^ VAR5[714] ^ VAR5[711] ^ VAR5[709] ^ VAR5[705] ^ VAR5[704] ^ VAR5[703] ^ VAR5[701] ^ VAR5[699] ^ VAR5[697] ^ VAR5[696] ^ VAR5[695] ^ VAR5[694] ^ VAR5[690] ^ VAR5[689] ^ VAR5[688] ^ VAR5[685] ^ VAR5[683] ^ VAR5[682] ^ VAR5[681] ^ VAR5[679] ^ VAR5[678] ^ VAR5[673] ^ VAR5[672] ^ VAR5[671] ^ VAR5[670] ^ VAR5[668] ^ VAR5[667] ^ VAR5[666] ^ VAR5[660] ^ VAR5[659] ^ VAR5[658] ^ VAR5[657] ^ VAR5[655] ^ VAR5[654] ^ VAR5[653] ^ VAR5[651] ^ VAR5[649] ^ VAR5[647] ^ VAR5[645] ^ VAR5[642] ^ VAR5[640] ^ VAR5[638] ^ VAR5[637] ^ VAR5[635] ^ VAR5[633] ^ VAR5[632] ^ VAR5[631] ^ VAR5[629] ^ VAR5[627] ^ VAR5[626] ^ VAR5[625] ^ VAR5[623] ^ VAR5[621] ^ VAR5[618] ^ VAR5[614] ^ VAR5[613] ^ VAR5[610] ^ VAR5[609] ^ VAR5[608] ^ VAR5[607] ^ VAR5[606] ^ VAR5[604] ^ VAR5[603] ^ VAR5[602] ^ VAR5[599] ^ VAR5[598] ^ VAR5[597] ^ VAR5[596] ^ VAR5[595] ^ VAR5[594] ^ VAR5[592] ^ VAR5[591] ^ VAR5[590] ^ VAR5[589] ^ VAR5[588] ^ VAR5[587] ^ VAR5[586] ^ VAR5[583] ^ VAR5[582] ^ VAR5[578] ^ VAR5[572] ^ VAR5[562] ^ VAR5[560] ^ VAR5[557] ^ VAR5[556] ^ VAR5[555] ^ VAR5[552] ^ VAR5[551] ^ VAR5[548] ^ VAR5[547] ^ VAR5[545] ^ VAR5[542] ^ VAR5[541] ^ VAR5[540] ^ VAR5[537] ^ VAR5[536] ^ VAR5[535] ^ VAR5[533] ^ VAR5[526] ^ VAR5[523] ^ VAR5[521] ^ VAR5[520] ^ VAR5[519] ^ VAR5[518] ^ VAR5[517] ^ VAR5[516] ^ VAR5[515] ^ VAR5[513] ^ VAR5[512] ^ VAR5[509] ^ VAR5[507] ^ VAR5[506] ^ VAR5[504] ^ VAR5[501] ^ VAR5[495] ^ VAR5[494] ^ VAR5[493] ^ VAR5[492] ^ VAR5[491] ^ VAR5[487] ^ VAR5[486] ^ VAR5[485] ^ VAR5[483] ^ VAR5[477] ^ VAR5[476] ^ VAR5[474] ^ VAR5[473] ^ VAR5[472] ^ VAR5[471] ^ VAR5[470] ^ VAR5[468] ^ VAR5[466] ^ VAR5[464] ^ VAR5[463] ^ VAR5[462] ^ VAR5[461] ^ VAR5[458] ^ VAR5[456] ^ VAR5[455] ^ VAR5[453] ^ VAR5[450] ^ VAR5[449] ^ VAR5[447] ^ VAR5[443] ^ VAR5[441] ^ VAR5[440] ^ VAR5[439] ^ VAR5[436] ^ VAR5[433] ^ VAR5[431] ^ VAR5[429] ^ VAR5[426] ^ VAR5[425] ^ VAR5[424] ^ VAR5[423] ^ VAR5[418] ^ VAR5[415] ^ VAR5[414] ^ VAR5[413] ^ VAR5[412] ^ VAR5[411] ^ VAR5[403] ^ VAR5[401] ^ VAR5[400] ^ VAR5[397] ^ VAR5[392] ^ VAR5[391] ^ VAR5[389] ^ VAR5[388] ^ VAR5[386] ^ VAR5[383] ^ VAR5[380] ^ VAR5[379] ^ VAR5[378] ^ VAR5[377] ^ VAR5[371] ^ VAR5[370] ^ VAR5[368] ^ VAR5[364] ^ VAR5[362] ^ VAR5[361] ^ VAR5[358] ^ VAR5[357] ^ VAR5[355] ^ VAR5[354] ^ VAR5[353] ^ VAR5[352] ^ VAR5[347] ^ VAR5[343] ^ VAR5[342] ^ VAR5[340] ^ VAR5[339] ^ VAR5[336] ^ VAR5[334] ^ VAR5[331] ^ VAR5[330] ^ VAR5[329] ^ VAR5[328] ^ VAR5[325] ^ VAR5[324] ^ VAR5[322] ^ VAR5[316] ^ VAR5[315] ^ VAR5[314] ^ VAR5[311] ^ VAR5[308] ^ VAR5[304] ^ VAR5[302] ^ VAR5[300] ^ VAR5[299] ^ VAR5[298] ^ VAR5[297] ^ VAR5[296] ^ VAR5[294] ^ VAR5[293] ^ VAR5[292] ^ VAR5[291] ^ VAR5[290] ^ VAR5[289] ^ VAR5[288] ^ VAR5[286] ^ VAR5[284] ^ VAR5[281] ^ VAR5[276] ^ VAR5[275] ^ VAR5[273] ^ VAR5[271] ^ VAR5[269] ^ VAR5[266] ^ VAR5[265] ^ VAR5[263] ^ VAR5[258] ^ VAR5[255] ^ VAR5[254] ^ VAR5[253] ^ VAR5[251] ^ VAR5[248] ^ VAR5[247] ^ VAR5[242] ^ VAR5[241] ^ VAR5[238] ^ VAR5[233] ^ VAR5[232] ^ VAR5[231] ^ VAR5[230] ^ VAR5[229] ^ VAR5[228] ^ VAR5[227] ^ VAR5[226] ^ VAR5[224] ^ VAR5[223] ^ VAR5[220] ^ VAR5[215] ^ VAR5[213] ^ VAR5[208] ^ VAR5[207] ^ VAR5[204] ^ VAR5[202] ^ VAR5[201] ^ VAR5[195] ^ VAR5[191] ^ VAR5[189] ^ VAR5[188] ^ VAR5[185] ^ VAR5[184] ^ VAR5[180] ^ VAR5[179] ^ VAR5[177] ^ VAR5[176] ^ VAR5[174] ^ VAR5[172] ^ VAR5[171] ^ VAR5[166] ^ VAR5[165] ^ VAR5[163] ^ VAR5[162] ^ VAR5[160] ^ VAR5[159] ^ VAR5[157] ^ VAR5[156] ^ VAR5[153] ^ VAR5[152] ^ VAR5[149] ^ VAR5[147] ^ VAR5[146] ^ VAR5[145] ^ VAR5[144] ^ VAR5[143] ^ VAR5[142] ^ VAR5[141] ^ VAR5[140] ^ VAR5[139] ^ VAR5[138] ^ VAR5[137] ^ VAR5[133] ^ VAR5[128] ^ VAR5[124] ^ VAR5[122] ^ VAR5[119] ^ VAR5[116] ^ VAR5[114] ^ VAR5[111] ^ VAR5[110] ^ VAR5[109] ^ VAR5[108] ^ VAR5[107] ^ VAR5[105] ^ VAR5[99] ^ VAR5[97] ^ VAR5[96] ^ VAR5[89] ^ VAR5[87] ^ VAR5[85] ^ VAR5[83] ^ VAR5[82] ^ VAR5[81] ^ VAR5[78] ^ VAR5[76] ^ VAR5[75] ^ VAR5[73] ^ VAR5[71] ^ VAR5[68] ^ VAR5[67] ^ VAR5[66] ^ VAR5[65] ^ VAR5[64] ^ VAR5[59] ^ VAR5[57] ^ VAR5[56] ^ VAR5[53] ^ VAR5[52] ^ VAR5[50] ^ VAR5[49] ^ VAR5[43] ^ VAR5[36] ^ VAR5[34] ^ VAR5[33] ^ VAR5[29] ^ VAR5[28] ^ VAR5[27] ^ VAR5[23] ^ VAR5[21] ^ VAR5[20] ^ VAR5[13] ^ VAR5[12] ^ VAR5[9] ^ VAR5[5] ^ VAR5[1] ^ VAR3[4] ^ VAR3[5] ^ VAR3[7] ^ VAR3[9] ^ VAR3[10] ^ VAR3[13] ^ VAR3[14];
VAR1[2] = VAR5[1023] ^ VAR5[1022] ^ VAR5[1019] ^ VAR5[1018] ^ VAR5[1016] ^ VAR5[1014] ^ VAR5[1013] ^ VAR5[1008] ^ VAR5[1004] ^ VAR5[1001] ^ VAR5[1000] ^ VAR5[999] ^ VAR5[998] ^ VAR5[997] ^ VAR5[995] ^ VAR5[994] ^ VAR5[993] ^ VAR5[992] ^ VAR5[990] ^ VAR5[988] ^ VAR5[986] ^ VAR5[985] ^ VAR5[984] ^ VAR5[983] ^ VAR5[981] ^ VAR5[978] ^ VAR5[977] ^ VAR5[976] ^ VAR5[972] ^ VAR5[970] ^ VAR5[968] ^ VAR5[966] ^ VAR5[965] ^ VAR5[964] ^ VAR5[963] ^ VAR5[962] ^ VAR5[960] ^ VAR5[959] ^ VAR5[957] ^ VAR5[955] ^ VAR5[954] ^ VAR5[953] ^ VAR5[952] ^ VAR5[951] ^ VAR5[950] ^ VAR5[948] ^ VAR5[947] ^ VAR5[944] ^ VAR5[943] ^ VAR5[940] ^ VAR5[939] ^ VAR5[938] ^ VAR5[937] ^ VAR5[935] ^ VAR5[933] ^ VAR5[932] ^ VAR5[930] ^ VAR5[928] ^ VAR5[927] ^ VAR5[926] ^ VAR5[924] ^ VAR5[923] ^ VAR5[921] ^ VAR5[920] ^ VAR5[917] ^ VAR5[916] ^ VAR5[912] ^ VAR5[911] ^ VAR5[910] ^ VAR5[909] ^ VAR5[906] ^ VAR5[905] ^ VAR5[904] ^ VAR5[900] ^ VAR5[898] ^ VAR5[897] ^ VAR5[896] ^ VAR5[893] ^ VAR5[891] ^ VAR5[890] ^ VAR5[889] ^ VAR5[888] ^ VAR5[884] ^ VAR5[883] ^ VAR5[882] ^ VAR5[880] ^ VAR5[877] ^ VAR5[876] ^ VAR5[874] ^ VAR5[873] ^ VAR5[872] ^ VAR5[870] ^ VAR5[869] ^ VAR5[868] ^ VAR5[866] ^ VAR5[864] ^ VAR5[863] ^ VAR5[862] ^ VAR5[861] ^ VAR5[859] ^ VAR5[858] ^ VAR5[857] ^ VAR5[856] ^ VAR5[854] ^ VAR5[852] ^ VAR5[848] ^ VAR5[847] ^ VAR5[844] ^ VAR5[842] ^ VAR5[841] ^ VAR5[839] ^ VAR5[838] ^ VAR5[837] ^ VAR5[836] ^ VAR5[835] ^ VAR5[834] ^ VAR5[833] ^ VAR5[832] ^ VAR5[824] ^ VAR5[818] ^ VAR5[817] ^ VAR5[816] ^ VAR5[813] ^ VAR5[811] ^ VAR5[805] ^ VAR5[804] ^ VAR5[802] ^ VAR5[795] ^ VAR5[793] ^ VAR5[792] ^ VAR5[789] ^ VAR5[787] ^ VAR5[786] ^ VAR5[784] ^ VAR5[783] ^ VAR5[782] ^ VAR5[780] ^ VAR5[778] ^ VAR5[777] ^ VAR5[776] ^ VAR5[774] ^ VAR5[771] ^ VAR5[769] ^ VAR5[767] ^ VAR5[766] ^ VAR5[765] ^ VAR5[764] ^ VAR5[762] ^ VAR5[758] ^ VAR5[754] ^ VAR5[753] ^ VAR5[752] ^ VAR5[751] ^ VAR5[747] ^ VAR5[746] ^ VAR5[742] ^ VAR5[740] ^ VAR5[739] ^ VAR5[738] ^ VAR5[736] ^ VAR5[735] ^ VAR5[733] ^ VAR5[730] ^ VAR5[727] ^ VAR5[725] ^ VAR5[721] ^ VAR5[719] ^ VAR5[718] ^ VAR5[717] ^ VAR5[716] ^ VAR5[715] ^ VAR5[712] ^ VAR5[710] ^ VAR5[706] ^ VAR5[705] ^ VAR5[704] ^ VAR5[702] ^ VAR5[700] ^ VAR5[698] ^ VAR5[697] ^ VAR5[696] ^ VAR5[695] ^ VAR5[691] ^ VAR5[690] ^ VAR5[689] ^ VAR5[686] ^ VAR5[684] ^ VAR5[683] ^ VAR5[682] ^ VAR5[680] ^ VAR5[679] ^ VAR5[674] ^ VAR5[673] ^ VAR5[672] ^ VAR5[671] ^ VAR5[669] ^ VAR5[668] ^ VAR5[667] ^ VAR5[661] ^ VAR5[660] ^ VAR5[659] ^ VAR5[658] ^ VAR5[656] ^ VAR5[655] ^ VAR5[654] ^ VAR5[652] ^ VAR5[650] ^ VAR5[648] ^ VAR5[646] ^ VAR5[643] ^ VAR5[641] ^ VAR5[639] ^ VAR5[638] ^ VAR5[636] ^ VAR5[634] ^ VAR5[633] ^ VAR5[632] ^ VAR5[630] ^ VAR5[628] ^ VAR5[627] ^ VAR5[626] ^ VAR5[624] ^ VAR5[622] ^ VAR5[619] ^ VAR5[615] ^ VAR5[614] ^ VAR5[611] ^ VAR5[610] ^ VAR5[609] ^ VAR5[608] ^ VAR5[607] ^ VAR5[605] ^ VAR5[604] ^ VAR5[603] ^ VAR5[600] ^ VAR5[599] ^ VAR5[598] ^ VAR5[597] ^ VAR5[596] ^ VAR5[595] ^ VAR5[593] ^ VAR5[592] ^ VAR5[591] ^ VAR5[590] ^ VAR5[589] ^ VAR5[588] ^ VAR5[587] ^ VAR5[584] ^ VAR5[583] ^ VAR5[579] ^ VAR5[573] ^ VAR5[563] ^ VAR5[561] ^ VAR5[558] ^ VAR5[557] ^ VAR5[556] ^ VAR5[553] ^ VAR5[552] ^ VAR5[549] ^ VAR5[548] ^ VAR5[546] ^ VAR5[543] ^ VAR5[542] ^ VAR5[541] ^ VAR5[538] ^ VAR5[537] ^ VAR5[536] ^ VAR5[534] ^ VAR5[527] ^ VAR5[524] ^ VAR5[522] ^ VAR5[521] ^ VAR5[520] ^ VAR5[519] ^ VAR5[518] ^ VAR5[517] ^ VAR5[516] ^ VAR5[514] ^ VAR5[513] ^ VAR5[510] ^ VAR5[508] ^ VAR5[507] ^ VAR5[505] ^ VAR5[502] ^ VAR5[496] ^ VAR5[495] ^ VAR5[494] ^ VAR5[493] ^ VAR5[492] ^ VAR5[488] ^ VAR5[487] ^ VAR5[486] ^ VAR5[484] ^ VAR5[478] ^ VAR5[477] ^ VAR5[475] ^ VAR5[474] ^ VAR5[473] ^ VAR5[472] ^ VAR5[471] ^ VAR5[469] ^ VAR5[467] ^ VAR5[465] ^ VAR5[464] ^ VAR5[463] ^ VAR5[462] ^ VAR5[459] ^ VAR5[457] ^ VAR5[456] ^ VAR5[454] ^ VAR5[451] ^ VAR5[450] ^ VAR5[448] ^ VAR5[444] ^ VAR5[442] ^ VAR5[441] ^ VAR5[440] ^ VAR5[437] ^ VAR5[434] ^ VAR5[432] ^ VAR5[430] ^ VAR5[427] ^ VAR5[426] ^ VAR5[425] ^ VAR5[424] ^ VAR5[419] ^ VAR5[416] ^ VAR5[415] ^ VAR5[414] ^ VAR5[413] ^ VAR5[412] ^ VAR5[404] ^ VAR5[402] ^ VAR5[401] ^ VAR5[398] ^ VAR5[393] ^ VAR5[392] ^ VAR5[390] ^ VAR5[389] ^ VAR5[387] ^ VAR5[384] ^ VAR5[381] ^ VAR5[380] ^ VAR5[379] ^ VAR5[378] ^ VAR5[372] ^ VAR5[371] ^ VAR5[369] ^ VAR5[365] ^ VAR5[363] ^ VAR5[362] ^ VAR5[359] ^ VAR5[358] ^ VAR5[356] ^ VAR5[355] ^ VAR5[354] ^ VAR5[353] ^ VAR5[348] ^ VAR5[344] ^ VAR5[343] ^ VAR5[341] ^ VAR5[340] ^ VAR5[337] ^ VAR5[335] ^ VAR5[332] ^ VAR5[331] ^ VAR5[330] ^ VAR5[329] ^ VAR5[326] ^ VAR5[325] ^ VAR5[323] ^ VAR5[317] ^ VAR5[316] ^ VAR5[315] ^ VAR5[312] ^ VAR5[309] ^ VAR5[305] ^ VAR5[303] ^ VAR5[301] ^ VAR5[300] ^ VAR5[299] ^ VAR5[298] ^ VAR5[297] ^ VAR5[295] ^ VAR5[294] ^ VAR5[293] ^ VAR5[292] ^ VAR5[291] ^ VAR5[290] ^ VAR5[289] ^ VAR5[287] ^ VAR5[285] ^ VAR5[282] ^ VAR5[277] ^ VAR5[276] ^ VAR5[274] ^ VAR5[272] ^ VAR5[270] ^ VAR5[267] ^ VAR5[266] ^ VAR5[264] ^ VAR5[259] ^ VAR5[256] ^ VAR5[255] ^ VAR5[254] ^ VAR5[252] ^ VAR5[249] ^ VAR5[248] ^ VAR5[243] ^ VAR5[242] ^ VAR5[239] ^ VAR5[234] ^ VAR5[233] ^ VAR5[232] ^ VAR5[231] ^ VAR5[230] ^ VAR5[229] ^ VAR5[228] ^ VAR5[227] ^ VAR5[225] ^ VAR5[224] ^ VAR5[221] ^ VAR5[216] ^ VAR5[214] ^ VAR5[209] ^ VAR5[208] ^ VAR5[205] ^ VAR5[203] ^ VAR5[202] ^ VAR5[196] ^ VAR5[192] ^ VAR5[190] ^ VAR5[189] ^ VAR5[186] ^ VAR5[185] ^ VAR5[181] ^ VAR5[180] ^ VAR5[178] ^ VAR5[177] ^ VAR5[175] ^ VAR5[173] ^ VAR5[172] ^ VAR5[167] ^ VAR5[166] ^ VAR5[164] ^ VAR5[163] ^ VAR5[161] ^ VAR5[160] ^ VAR5[158] ^ VAR5[157] ^ VAR5[154] ^ VAR5[153] ^ VAR5[150] ^ VAR5[148] ^ VAR5[147] ^ VAR5[146] ^ VAR5[145] ^ VAR5[144] ^ VAR5[143] ^ VAR5[142] ^ VAR5[141] ^ VAR5[140] ^ VAR5[139] ^ VAR5[138] ^ VAR5[134] ^ VAR5[129] ^ VAR5[125] ^ VAR5[123] ^ VAR5[120] ^ VAR5[117] ^ VAR5[115] ^ VAR5[112] ^ VAR5[111] ^ VAR5[110] ^ VAR5[109] ^ VAR5[108] ^ VAR5[106] ^ VAR5[100] ^ VAR5[98] ^ VAR5[97] ^ VAR5[90] ^ VAR5[88] ^ VAR5[86] ^ VAR5[84] ^ VAR5[83] ^ VAR5[82] ^ VAR5[79] ^ VAR5[77] ^ VAR5[76] ^ VAR5[74] ^ VAR5[72] ^ VAR5[69] ^ VAR5[68] ^ VAR5[67] ^ VAR5[66] ^ VAR5[65] ^ VAR5[60] ^ VAR5[58] ^ VAR5[57] ^ VAR5[54] ^ VAR5[53] ^ VAR5[51] ^ VAR5[50] ^ VAR5[44] ^ VAR5[37] ^ VAR5[35] ^ VAR5[34] ^ VAR5[30] ^ VAR5[29] ^ VAR5[28] ^ VAR5[24] ^ VAR5[22] ^ VAR5[21] ^ VAR5[14] ^ VAR5[13] ^ VAR5[10] ^ VAR5[6] ^ VAR5[2] ^ VAR3[0] ^ VAR3[5] ^ VAR3[6] ^ VAR3[8] ^ VAR3[10] ^ VAR3[11] ^ VAR3[14] ^ VAR3[15];
VAR1[3] = VAR5[1023] ^ VAR5[1020] ^ VAR5[1019] ^ VAR5[1017] ^ VAR5[1015] ^ VAR5[1014] ^ VAR5[1009] ^ VAR5[1005] ^ VAR5[1002] ^ VAR5[1001] ^ VAR5[1000] ^ VAR5[999] ^ VAR5[998] ^ VAR5[996] ^ VAR5[995] ^ VAR5[994] ^ VAR5[993] ^ VAR5[991] ^ VAR5[989] ^ VAR5[987] ^ VAR5[986] ^ VAR5[985] ^ VAR5[984] ^ VAR5[982] ^ VAR5[979] ^ VAR5[978] ^ VAR5[977] ^ VAR5[973] ^ VAR5[971] ^ VAR5[969] ^ VAR5[967] ^ VAR5[966] ^ VAR5[965] ^ VAR5[964] ^ VAR5[963] ^ VAR5[961] ^ VAR5[960] ^ VAR5[958] ^ VAR5[956] ^ VAR5[955] ^ VAR5[954] ^ VAR5[953] ^ VAR5[952] ^ VAR5[951] ^ VAR5[949] ^ VAR5[948] ^ VAR5[945] ^ VAR5[944] ^ VAR5[941] ^ VAR5[940] ^ VAR5[939] ^ VAR5[938] ^ VAR5[936] ^ VAR5[934] ^ VAR5[933] ^ VAR5[931] ^ VAR5[929] ^ VAR5[928] ^ VAR5[927] ^ VAR5[925] ^ VAR5[924] ^ VAR5[922] ^ VAR5[921] ^ VAR5[918] ^ VAR5[917] ^ VAR5[913] ^ VAR5[912] ^ VAR5[911] ^ VAR5[910] ^ VAR5[907] ^ VAR5[906] ^ VAR5[905] ^ VAR5[901] ^ VAR5[899] ^ VAR5[898] ^ VAR5[897] ^ VAR5[894] ^ VAR5[892] ^ VAR5[891] ^ VAR5[890] ^ VAR5[889] ^ VAR5[885] ^ VAR5[884] ^ VAR5[883] ^ VAR5[881] ^ VAR5[878] ^ VAR5[877] ^ VAR5[875] ^ VAR5[874] ^ VAR5[873] ^ VAR5[871] ^ VAR5[870] ^ VAR5[869] ^ VAR5[867] ^ VAR5[865] ^ VAR5[864] ^ VAR5[863] ^ VAR5[862] ^ VAR5[860] ^ VAR5[859] ^ VAR5[858] ^ VAR5[857] ^ VAR5[855] ^ VAR5[853] ^ VAR5[849] ^ VAR5[848] ^ VAR5[845] ^ VAR5[843] ^ VAR5[842] ^ VAR5[840] ^ VAR5[839] ^ VAR5[838] ^ VAR5[837] ^ VAR5[836] ^ VAR5[835] ^ VAR5[834] ^ VAR5[833] ^ VAR5[825] ^ VAR5[819] ^ VAR5[818] ^ VAR5[817] ^ VAR5[814] ^ VAR5[812] ^ VAR5[806] ^ VAR5[805] ^ VAR5[803] ^ VAR5[796] ^ VAR5[794] ^ VAR5[793] ^ VAR5[790] ^ VAR5[788] ^ VAR5[787] ^ VAR5[785] ^ VAR5[784] ^ VAR5[783] ^ VAR5[781] ^ VAR5[779] ^ VAR5[778] ^ VAR5[777] ^ VAR5[775] ^ VAR5[772] ^ VAR5[770] ^ VAR5[768] ^ VAR5[767] ^ VAR5[766] ^ VAR5[765] ^ VAR5[763] ^ VAR5[759] ^ VAR5[755] ^ VAR5[754] ^ VAR5[753] ^ VAR5[752] ^ VAR5[748] ^ VAR5[747] ^ VAR5[743] ^ VAR5[741] ^ VAR5[740] ^ VAR5[739] ^ VAR5[737] ^ VAR5[736] ^ VAR5[734] ^ VAR5[731] ^ VAR5[728] ^ VAR5[726] ^ VAR5[722] ^ VAR5[720] ^ VAR5[719] ^ VAR5[718] ^ VAR5[717] ^ VAR5[716] ^ VAR5[713] ^ VAR5[711] ^ VAR5[707] ^ VAR5[706] ^ VAR5[705] ^ VAR5[703] ^ VAR5[701] ^ VAR5[699] ^ VAR5[698] ^ VAR5[697] ^ VAR5[696] ^ VAR5[692] ^ VAR5[691] ^ VAR5[690] ^ VAR5[687] ^ VAR5[685] ^ VAR5[684] ^ VAR5[683] ^ VAR5[681] ^ VAR5[680] ^ VAR5[675] ^ VAR5[674] ^ VAR5[673] ^ VAR5[672] ^ VAR5[670] ^ VAR5[669] ^ VAR5[668] ^ VAR5[662] ^ VAR5[661] ^ VAR5[660] ^ VAR5[659] ^ VAR5[657] ^ VAR5[656] ^ VAR5[655] ^ VAR5[653] ^ VAR5[651] ^ VAR5[649] ^ VAR5[647] ^ VAR5[644] ^ VAR5[642] ^ VAR5[640] ^ VAR5[639] ^ VAR5[637] ^ VAR5[635] ^ VAR5[634] ^ VAR5[633] ^ VAR5[631] ^ VAR5[629] ^ VAR5[628] ^ VAR5[627] ^ VAR5[625] ^ VAR5[623] ^ VAR5[620] ^ VAR5[616] ^ VAR5[615] ^ VAR5[612] ^ VAR5[611] ^ VAR5[610] ^ VAR5[609] ^ VAR5[608] ^ VAR5[606] ^ VAR5[605] ^ VAR5[604] ^ VAR5[601] ^ VAR5[600] ^ VAR5[599] ^ VAR5[598] ^ VAR5[597] ^ VAR5[596] ^ VAR5[594] ^ VAR5[593] ^ VAR5[592] ^ VAR5[591] ^ VAR5[590] ^ VAR5[589] ^ VAR5[588] ^ VAR5[585] ^ VAR5[584] ^ VAR5[580] ^ VAR5[574] ^ VAR5[564] ^ VAR5[562] ^ VAR5[559] ^ VAR5[558] ^ VAR5[557] ^ VAR5[554] ^ VAR5[553] ^ VAR5[550] ^ VAR5[549] ^ VAR5[547] ^ VAR5[544] ^ VAR5[543] ^ VAR5[542] ^ VAR5[539] ^ VAR5[538] ^ VAR5[537] ^ VAR5[535] ^ VAR5[528] ^ VAR5[525] ^ VAR5[523] ^ VAR5[522] ^ VAR5[521] ^ VAR5[520] ^ VAR5[519] ^ VAR5[518] ^ VAR5[517] ^ VAR5[515] ^ VAR5[514] ^ VAR5[511] ^ VAR5[509] ^ VAR5[508] ^ VAR5[506] ^ VAR5[503] ^ VAR5[497] ^ VAR5[496] ^ VAR5[495] ^ VAR5[494] ^ VAR5[493] ^ VAR5[489] ^ VAR5[488] ^ VAR5[487] ^ VAR5[485] ^ VAR5[479] ^ VAR5[478] ^ VAR5[476] ^ VAR5[475] ^ VAR5[474] ^ VAR5[473] ^ VAR5[472] ^ VAR5[470] ^ VAR5[468] ^ VAR5[466] ^ VAR5[465] ^ VAR5[464] ^ VAR5[463] ^ VAR5[460] ^ VAR5[458] ^ VAR5[457] ^ VAR5[455] ^ VAR5[452] ^ VAR5[451] ^ VAR5[449] ^ VAR5[445] ^ VAR5[443] ^ VAR5[442] ^ VAR5[441] ^ VAR5[438] ^ VAR5[435] ^ VAR5[433] ^ VAR5[431] ^ VAR5[428] ^ VAR5[427] ^ VAR5[426] ^ VAR5[425] ^ VAR5[420] ^ VAR5[417] ^ VAR5[416] ^ VAR5[415] ^ VAR5[414] ^ VAR5[413] ^ VAR5[405] ^ VAR5[403] ^ VAR5[402] ^ VAR5[399] ^ VAR5[394] ^ VAR5[393] ^ VAR5[391] ^ VAR5[390] ^ VAR5[388] ^ VAR5[385] ^ VAR5[382] ^ VAR5[381] ^ VAR5[380] ^ VAR5[379] ^ VAR5[373] ^ VAR5[372] ^ VAR5[370] ^ VAR5[366] ^ VAR5[364] ^ VAR5[363] ^ VAR5[360] ^ VAR5[359] ^ VAR5[357] ^ VAR5[356] ^ VAR5[355] ^ VAR5[354] ^ VAR5[349] ^ VAR5[345] ^ VAR5[344] ^ VAR5[342] ^ VAR5[341] ^ VAR5[338] ^ VAR5[336] ^ VAR5[333] ^ VAR5[332] ^ VAR5[331] ^ VAR5[330] ^ VAR5[327] ^ VAR5[326] ^ VAR5[324] ^ VAR5[318] ^ VAR5[317] ^ VAR5[316] ^ VAR5[313] ^ VAR5[310] ^ VAR5[306] ^ VAR5[304] ^ VAR5[302] ^ VAR5[301] ^ VAR5[300] ^ VAR5[299] ^ VAR5[298] ^ VAR5[296] ^ VAR5[295] ^ VAR5[294] ^ VAR5[293] ^ VAR5[292] ^ VAR5[291] ^ VAR5[290] ^ VAR5[288] ^ VAR5[286] ^ VAR5[283] ^ VAR5[278] ^ VAR5[277] ^ VAR5[275] ^ VAR5[273] ^ VAR5[271] ^ VAR5[268] ^ VAR5[267] ^ VAR5[265] ^ VAR5[260] ^ VAR5[257] ^ VAR5[256] ^ VAR5[255] ^ VAR5[253] ^ VAR5[250] ^ VAR5[249] ^ VAR5[244] ^ VAR5[243] ^ VAR5[240] ^ VAR5[235] ^ VAR5[234] ^ VAR5[233] ^ VAR5[232] ^ VAR5[231] ^ VAR5[230] ^ VAR5[229] ^ VAR5[228] ^ VAR5[226] ^ VAR5[225] ^ VAR5[222] ^ VAR5[217] ^ VAR5[215] ^ VAR5[210] ^ VAR5[209] ^ VAR5[206] ^ VAR5[204] ^ VAR5[203] ^ VAR5[197] ^ VAR5[193] ^ VAR5[191] ^ VAR5[190] ^ VAR5[187] ^ VAR5[186] ^ VAR5[182] ^ VAR5[181] ^ VAR5[179] ^ VAR5[178] ^ VAR5[176] ^ VAR5[174] ^ VAR5[173] ^ VAR5[168] ^ VAR5[167] ^ VAR5[165] ^ VAR5[164] ^ VAR5[162] ^ VAR5[161] ^ VAR5[159] ^ VAR5[158] ^ VAR5[155] ^ VAR5[154] ^ VAR5[151] ^ VAR5[149] ^ VAR5[148] ^ VAR5[147] ^ VAR5[146] ^ VAR5[145] ^ VAR5[144] ^ VAR5[143] ^ VAR5[142] ^ VAR5[141] ^ VAR5[140] ^ VAR5[139] ^ VAR5[135] ^ VAR5[130] ^ VAR5[126] ^ VAR5[124] ^ VAR5[121] ^ VAR5[118] ^ VAR5[116] ^ VAR5[113] ^ VAR5[112] ^ VAR5[111] ^ VAR5[110] ^ VAR5[109] ^ VAR5[107] ^ VAR5[101] ^ VAR5[99] ^ VAR5[98] ^ VAR5[91] ^ VAR5[89] ^ VAR5[87] ^ VAR5[85] ^ VAR5[84] ^ VAR5[83] ^ VAR5[80] ^ VAR5[78] ^ VAR5[77] ^ VAR5[75] ^ VAR5[73] ^ VAR5[70] ^ VAR5[69] ^ VAR5[68] ^ VAR5[67] ^ VAR5[66] ^ VAR5[61] ^ VAR5[59] ^ VAR5[58] ^ VAR5[55] ^ VAR5[54] ^ VAR5[52] ^ VAR5[51] ^ VAR5[45] ^ VAR5[38] ^ VAR5[36] ^ VAR5[35] ^ VAR5[31] ^ VAR5[30] ^ VAR5[29] ^ VAR5[25] ^ VAR5[23] ^ VAR5[22] ^ VAR5[15] ^ VAR5[14] ^ VAR5[11] ^ VAR5[7] ^ VAR5[3] ^ VAR3[1] ^ VAR3[6] ^ VAR3[7] ^ VAR3[9] ^ VAR3[11] ^ VAR3[12] ^ VAR3[15];
VAR1[4] = VAR5[1021] ^ VAR5[1020] ^ VAR5[1018] ^ VAR5[1016] ^ VAR5[1015] ^ VAR5[1010] ^ VAR5[1006] ^ VAR5[1003] ^ VAR5[1002] ^ VAR5[1001] ^ VAR5[1000] ^ VAR5[999] ^ VAR5[997] ^ VAR5[996] ^ VAR5[995] ^ VAR5[994] ^ VAR5[992] ^ VAR5[990] ^ VAR5[988] ^ VAR5[987] ^ VAR5[986] ^ VAR5[985] ^ VAR5[983] ^ VAR5[980] ^ VAR5[979] ^ VAR5[978] ^ VAR5[974] ^ VAR5[972] ^ VAR5[970] ^ VAR5[968] ^ VAR5[967] ^ VAR5[966] ^ VAR5[965] ^ VAR5[964] ^ VAR5[962] ^ VAR5[961] ^ VAR5[959] ^ VAR5[957] ^ VAR5[956] ^ VAR5[955] ^ VAR5[954] ^ VAR5[953] ^ VAR5[952] ^ VAR5[950] ^ VAR5[949] ^ VAR5[946] ^ VAR5[945] ^ VAR5[942] ^ VAR5[941] ^ VAR5[940] ^ VAR5[939] ^ VAR5[937] ^ VAR5[935] ^ VAR5[934] ^ VAR5[932] ^ VAR5[930] ^ VAR5[929] ^ VAR5[928] ^ VAR5[926] ^ VAR5[925] ^ VAR5[923] ^ VAR5[922] ^ VAR5[919] ^ VAR5[918] ^ VAR5[914] ^ VAR5[913] ^ VAR5[912] ^ VAR5[911] ^ VAR5[908] ^ VAR5[907] ^ VAR5[906] ^ VAR5[902] ^ VAR5[900] ^ VAR5[899] ^ VAR5[898] ^ VAR5[895] ^ VAR5[893] ^ VAR5[892] ^ VAR5[891] ^ VAR5[890] ^ VAR5[886] ^ VAR5[885] ^ VAR5[884] ^ VAR5[882] ^ VAR5[879] ^ VAR5[878] ^ VAR5[876] ^ VAR5[875] ^ VAR5[874] ^ VAR5[872] ^ VAR5[871] ^ VAR5[870] ^ VAR5[868] ^ VAR5[866] ^ VAR5[865] ^ VAR5[864] ^ VAR5[863] ^ VAR5[861] ^ VAR5[860] ^ VAR5[859] ^ VAR5[858] ^ VAR5[856] ^ VAR5[854] ^ VAR5[850] ^ VAR5[849] ^ VAR5[846] ^ VAR5[844] ^ VAR5[843] ^ VAR5[841] ^ VAR5[840] ^ VAR5[839] ^ VAR5[838] ^ VAR5[837] ^ VAR5[836] ^ VAR5[835] ^ VAR5[834] ^ VAR5[826] ^ VAR5[820] ^ VAR5[819] ^ VAR5[818] ^ VAR5[815] ^ VAR5[813] ^ VAR5[807] ^ VAR5[806] ^ VAR5[804] ^ VAR5[797] ^ VAR5[795] ^ VAR5[794] ^ VAR5[791] ^ VAR5[789] ^ VAR5[788] ^ VAR5[786] ^ VAR5[785] ^ VAR5[784] ^ VAR5[782] ^ VAR5[780] ^ VAR5[779] ^ VAR5[778] ^ VAR5[776] ^ VAR5[773] ^ VAR5[771] ^ VAR5[769] ^ VAR5[768] ^ VAR5[767] ^ VAR5[766] ^ VAR5[764] ^ VAR5[760] ^ VAR5[756] ^ VAR5[755] ^ VAR5[754] ^ VAR5[753] ^ VAR5[749] ^ VAR5[748] ^ VAR5[744] ^ VAR5[742] ^ VAR5[741] ^ VAR5[740] ^ VAR5[738] ^ VAR5[737] ^ VAR5[735] ^ VAR5[732] ^ VAR5[729] ^ VAR5[727] ^ VAR5[723] ^ VAR5[721] ^ VAR5[720] ^ VAR5[719] ^ VAR5[718] ^ VAR5[717] ^ VAR5[714] ^ VAR5[712] ^ VAR5[708] ^ VAR5[707] ^ VAR5[706] ^ VAR5[704] ^ VAR5[702] ^ VAR5[700] ^ VAR5[699] ^ VAR5[698] ^ VAR5[697] ^ VAR5[693] ^ VAR5[692] ^ VAR5[691] ^ VAR5[688] ^ VAR5[686] ^ VAR5[685] ^ VAR5[684] ^ VAR5[682] ^ VAR5[681] ^ VAR5[676] ^ VAR5[675] ^ VAR5[674] ^ VAR5[673] ^ VAR5[671] ^ VAR5[670] ^ VAR5[669] ^ VAR5[663] ^ VAR5[662] ^ VAR5[661] ^ VAR5[660] ^ VAR5[658] ^ VAR5[657] ^ VAR5[656] ^ VAR5[654] ^ VAR5[652] ^ VAR5[650] ^ VAR5[648] ^ VAR5[645] ^ VAR5[643] ^ VAR5[641] ^ VAR5[640] ^ VAR5[638] ^ VAR5[636] ^ VAR5[635] ^ VAR5[634] ^ VAR5[632] ^ VAR5[630] ^ VAR5[629] ^ VAR5[628] ^ VAR5[626] ^ VAR5[624] ^ VAR5[621] ^ VAR5[617] ^ VAR5[616] ^ VAR5[613] ^ VAR5[612] ^ VAR5[611] ^ VAR5[610] ^ VAR5[609] ^ VAR5[607] ^ VAR5[606] ^ VAR5[605] ^ VAR5[602] ^ VAR5[601] ^ VAR5[600] ^ VAR5[599] ^ VAR5[598] ^ VAR5[597] ^ VAR5[595] ^ VAR5[594] ^ VAR5[593] ^ VAR5[592] ^ VAR5[591] ^ VAR5[590] ^ VAR5[589] ^ VAR5[586] ^ VAR5[585] ^ VAR5[581] ^ VAR5[575] ^ VAR5[565] ^ VAR5[563] ^ VAR5[560] ^ VAR5[559] ^ VAR5[558] ^ VAR5[555] ^ VAR5[554] ^ VAR5[551] ^ VAR5[550] ^ VAR5[548] ^ VAR5[545] ^ VAR5[544] ^ VAR5[543] ^ VAR5[540] ^ VAR5[539] ^ VAR5[538] ^ VAR5[536] ^ VAR5[529] ^ VAR5[526] ^ VAR5[524] ^ VAR5[523] ^ VAR5[522] ^ VAR5[521] ^ VAR5[520] ^ VAR5[519] ^ VAR5[518] ^ VAR5[516] ^ VAR5[515] ^ VAR5[512] ^ VAR5[510] ^ VAR5[509] ^ VAR5[507] ^ VAR5[504] ^ VAR5[498] ^ VAR5[497] ^ VAR5[496] ^ VAR5[495] ^ VAR5[494] ^ VAR5[490] ^ VAR5[489] ^ VAR5[488] ^ VAR5[486] ^ VAR5[480] ^ VAR5[479] ^ VAR5[477] ^ VAR5[476] ^ VAR5[475] ^ VAR5[474] ^ VAR5[473] ^ VAR5[471] ^ VAR5[469] ^ VAR5[467] ^ VAR5[466] ^ VAR5[465] ^ VAR5[464] ^ VAR5[461] ^ VAR5[459] ^ VAR5[458] ^ VAR5[456] ^ VAR5[453] ^ VAR5[452] ^ VAR5[450] ^ VAR5[446] ^ VAR5[444] ^ VAR5[443] ^ VAR5[442] ^ VAR5[439] ^ VAR5[436] ^ VAR5[434] ^ VAR5[432] ^ VAR5[429] ^ VAR5[428] ^ VAR5[427] ^ VAR5[426] ^ VAR5[421] ^ VAR5[418] ^ VAR5[417] ^ VAR5[416] ^ VAR5[415] ^ VAR5[414] ^ VAR5[406] ^ VAR5[404] ^ VAR5[403] ^ VAR5[400] ^ VAR5[395] ^ VAR5[394] ^ VAR5[392] ^ VAR5[391] ^ VAR5[389] ^ VAR5[386] ^ VAR5[383] ^ VAR5[382] ^ VAR5[381] ^ VAR5[380] ^ VAR5[374] ^ VAR5[373] ^ VAR5[371] ^ VAR5[367] ^ VAR5[365] ^ VAR5[364] ^ VAR5[361] ^ VAR5[360] ^ VAR5[358] ^ VAR5[357] ^ VAR5[356] ^ VAR5[355] ^ VAR5[350] ^ VAR5[346] ^ VAR5[345] ^ VAR5[343] ^ VAR5[342] ^ VAR5[339] ^ VAR5[337] ^ VAR5[334] ^ VAR5[333] ^ VAR5[332] ^ VAR5[331] ^ VAR5[328] ^ VAR5[327] ^ VAR5[325] ^ VAR5[319] ^ VAR5[318] ^ VAR5[317] ^ VAR5[314] ^ VAR5[311] ^ VAR5[307] ^ VAR5[305] ^ VAR5[303] ^ VAR5[302] ^ VAR5[301] ^ VAR5[300] ^ VAR5[299] ^ VAR5[297] ^ VAR5[296] ^ VAR5[295] ^ VAR5[294] ^ VAR5[293] ^ VAR5[292] ^ VAR5[291] ^ VAR5[289] ^ VAR5[287] ^ VAR5[284] ^ VAR5[279] ^ VAR5[278] ^ VAR5[276] ^ VAR5[274] ^ VAR5[272] ^ VAR5[269] ^ VAR5[268] ^ VAR5[266] ^ VAR5[261] ^ VAR5[258] ^ VAR5[257] ^ VAR5[256] ^ VAR5[254] ^ VAR5[251] ^ VAR5[250] ^ VAR5[245] ^ VAR5[244] ^ VAR5[241] ^ VAR5[236] ^ VAR5[235] ^ VAR5[234] ^ VAR5[233] ^ VAR5[232] ^ VAR5[231] ^ VAR5[230] ^ VAR5[229] ^ VAR5[227] ^ VAR5[226] ^ VAR5[223] ^ VAR5[218] ^ VAR5[216] ^ VAR5[211] ^ VAR5[210] ^ VAR5[207] ^ VAR5[205] ^ VAR5[204] ^ VAR5[198] ^ VAR5[194] ^ VAR5[192] ^ VAR5[191] ^ VAR5[188] ^ VAR5[187] ^ VAR5[183] ^ VAR5[182] ^ VAR5[180] ^ VAR5[179] ^ VAR5[177] ^ VAR5[175] ^ VAR5[174] ^ VAR5[169] ^ VAR5[168] ^ VAR5[166] ^ VAR5[165] ^ VAR5[163] ^ VAR5[162] ^ VAR5[160] ^ VAR5[159] ^ VAR5[156] ^ VAR5[155] ^ VAR5[152] ^ VAR5[150] ^ VAR5[149] ^ VAR5[148] ^ VAR5[147] ^ VAR5[146] ^ VAR5[145] ^ VAR5[144] ^ VAR5[143] ^ VAR5[142] ^ VAR5[141] ^ VAR5[140] ^ VAR5[136] ^ VAR5[131] ^ VAR5[127] ^ VAR5[125] ^ VAR5[122] ^ VAR5[119] ^ VAR5[117] ^ VAR5[114] ^ VAR5[113] ^ VAR5[112] ^ VAR5[111] ^ VAR5[110] ^ VAR5[108] ^ VAR5[102] ^ VAR5[100] ^ VAR5[99] ^ VAR5[92] ^ VAR5[90] ^ VAR5[88] ^ VAR5[86] ^ VAR5[85] ^ VAR5[84] ^ VAR5[81] ^ VAR5[79] ^ VAR5[78] ^ VAR5[76] ^ VAR5[74] ^ VAR5[71] ^ VAR5[70] ^ VAR5[69] ^ VAR5[68] ^ VAR5[67] ^ VAR5[62] ^ VAR5[60] ^ VAR5[59] ^ VAR5[56] ^ VAR5[55] ^ VAR5[53] ^ VAR5[52] ^ VAR5[46] ^ VAR5[39] ^ VAR5[37] ^ VAR5[36] ^ VAR5[32] ^ VAR5[31] ^ VAR5[30] ^ VAR5[26] ^ VAR5[24] ^ VAR5[23] ^ VAR5[16] ^ VAR5[15] ^ VAR5[12] ^ VAR5[8] ^ VAR5[4] ^ VAR3[2] ^ VAR3[7] ^ VAR3[8] ^ VAR3[10] ^ VAR3[12] ^ VAR3[13];
VAR1[5] = VAR5[1023] ^ VAR5[1022] ^ VAR5[1020] ^ VAR5[1019] ^ VAR5[1014] ^ VAR5[1012] ^ VAR5[1007] ^ VAR5[1006] ^ VAR5[1004] ^ VAR5[1003] ^ VAR5[1001] ^ VAR5[1000] ^ VAR5[999] ^ VAR5[992] ^ VAR5[990] ^ VAR5[989] ^ VAR5[987] ^ VAR5[983] ^ VAR5[982] ^ VAR5[980] ^ VAR5[976] ^ VAR5[974] ^ VAR5[973] ^ VAR5[971] ^ VAR5[970] ^ VAR5[969] ^ VAR5[967] ^ VAR5[965] ^ VAR5[964] ^ VAR5[961] ^ VAR5[956] ^ VAR5[954] ^ VAR5[952] ^ VAR5[949] ^ VAR5[948] ^ VAR5[947] ^ VAR5[945] ^ VAR5[943] ^ VAR5[940] ^ VAR5[937] ^ VAR5[929] ^ VAR5[928] ^ VAR5[927] ^ VAR5[925] ^ VAR5[923] ^ VAR5[922] ^ VAR5[921] ^ VAR5[920] ^ VAR5[918] ^ VAR5[913] ^ VAR5[912] ^ VAR5[910] ^ VAR5[904] ^ VAR5[902] ^ VAR5[901] ^ VAR5[900] ^ VAR5[899] ^ VAR5[898] ^ VAR5[895] ^ VAR5[893] ^ VAR5[892] ^ VAR5[889] ^ VAR5[888] ^ VAR5[885] ^ VAR5[883] ^ VAR5[882] ^ VAR5[881] ^ VAR5[879] ^ VAR5[878] ^ VAR5[877] ^ VAR5[876] ^ VAR5[874] ^ VAR5[873] ^ VAR5[870] ^ VAR5[869] ^ VAR5[868] ^ VAR5[865] ^ VAR5[856] ^ VAR5[854] ^ VAR5[852] ^ VAR5[851] ^ VAR5[847] ^ VAR5[846] ^ VAR5[844] ^ VAR5[841] ^ VAR5[838] ^ VAR5[834] ^ VAR5[833] ^ VAR5[832] ^ VAR5[831] ^ VAR5[830] ^ VAR5[827] ^ VAR5[822] ^ VAR5[821] ^ VAR5[820] ^ VAR5[819] ^ VAR5[815] ^ VAR5[811] ^ VAR5[809] ^ VAR5[808] ^ VAR5[807] ^ VAR5[805] ^ VAR5[803] ^ VAR5[802] ^ VAR5[800] ^ VAR5[798] ^ VAR5[796] ^ VAR5[795] ^ VAR5[793] ^ VAR5[792] ^ VAR5[791] ^ VAR5[789] ^ VAR5[786] ^ VAR5[784] ^ VAR5[783] ^ VAR5[782] ^ VAR5[779] ^ VAR5[778] ^ VAR5[777] ^ VAR5[776] ^ VAR5[775] ^ VAR5[770] ^ VAR5[768] ^ VAR5[764] ^ VAR5[763] ^ VAR5[762] ^ VAR5[761] ^ VAR5[760] ^ VAR5[757] ^ VAR5[755] ^ VAR5[754] ^ VAR5[752] ^ VAR5[751] ^ VAR5[744] ^ VAR5[743] ^ VAR5[742] ^ VAR5[741] ^ VAR5[740] ^ VAR5[739] ^ VAR5[737] ^ VAR5[734] ^ VAR5[731] ^ VAR5[730] ^ VAR5[725] ^ VAR5[724] ^ VAR5[723] ^ VAR5[722] ^ VAR5[721] ^ VAR5[720] ^ VAR5[718] ^ VAR5[717] ^ VAR5[716] ^ VAR5[714] ^ VAR5[710] ^ VAR5[709] ^ VAR5[707] ^ VAR5[705] ^ VAR5[704] ^ VAR5[702] ^ VAR5[701] ^ VAR5[699] ^ VAR5[696] ^ VAR5[695] ^ VAR5[692] ^ VAR5[688] ^ VAR5[686] ^ VAR5[685] ^ VAR5[684] ^ VAR5[683] ^ VAR5[681] ^ VAR5[680] ^ VAR5[678] ^ VAR5[676] ^ VAR5[675] ^ VAR5[674] ^ VAR5[669] ^ VAR5[667] ^ VAR5[666] ^ VAR5[665] ^ VAR5[664] ^ VAR5[663] ^ VAR5[662] ^ VAR5[661] ^ VAR5[656] ^ VAR5[655] ^ VAR5[654] ^ VAR5[652] ^ VAR5[651] ^ VAR5[650] ^ VAR5[649] ^ VAR5[648] ^ VAR5[642] ^ VAR5[635] ^ VAR5[634] ^ VAR5[633] ^ VAR5[632] ^ VAR5[629] ^ VAR5[628] ^ VAR5[627] ^ VAR5[626] ^ VAR5[624] ^ VAR5[620] ^ VAR5[618] ^ VAR5[614] ^ VAR5[611] ^ VAR5[610] ^ VAR5[609] ^ VAR5[605] ^ VAR5[600] ^ VAR5[599] ^ VAR5[597] ^ VAR5[592] ^ VAR5[589] ^ VAR5[588] ^ VAR5[585] ^ VAR5[581] ^ VAR5[577] ^ VAR5[576] ^ VAR5[571] ^ VAR5[566] ^ VAR5[564] ^ VAR5[560] ^ VAR5[554] ^ VAR5[552] ^ VAR5[550] ^ VAR5[549] ^ VAR5[547] ^ VAR5[545] ^ VAR5[537] ^ VAR5[536] ^ VAR5[535] ^ VAR5[534] ^ VAR5[532] ^ VAR5[530] ^ VAR5[527] ^ VAR5[524] ^ VAR5[523] ^ VAR5[521] ^ VAR5[518] ^ VAR5[515] ^ VAR5[514] ^ VAR5[513] ^ VAR5[512] ^ VAR5[510] ^ VAR5[506] ^ VAR5[503] ^ VAR5[500] ^ VAR5[499] ^ VAR5[498] ^ VAR5[497] ^ VAR5[496] ^ VAR5[495] ^ VAR5[494] ^ VAR5[493] ^ VAR5[492] ^ VAR5[489] ^ VAR5[487] ^ VAR5[486] ^ VAR5[485] ^ VAR5[484] ^ VAR5[482] ^ VAR5[481] ^ VAR5[480] ^ VAR5[478] ^ VAR5[477] ^ VAR5[474] ^ VAR5[473] ^ VAR5[471] ^ VAR5[469] ^ VAR5[468] ^ VAR5[466] ^ VAR5[463] ^ VAR5[461] ^ VAR5[459] ^ VAR5[455] ^ VAR5[453] ^ VAR5[452] ^ VAR5[451] ^ VAR5[449] ^ VAR5[448] ^ VAR5[447] ^ VAR5[446] ^ VAR5[445] ^ VAR5[444] ^ VAR5[443] ^ VAR5[442] ^ VAR5[439] ^ VAR5[438] ^ VAR5[437] ^ VAR5[433] ^ VAR5[432] ^ VAR5[429] ^ VAR5[427] ^ VAR5[425] ^ VAR5[424] ^ VAR5[423] ^ VAR5[419] ^ VAR5[418] ^ VAR5[416] ^ VAR5[415] ^ VAR5[414] ^ VAR5[413] ^ VAR5[412] ^ VAR5[411] ^ VAR5[410] ^ VAR5[407] ^ VAR5[405] ^ VAR5[404] ^ VAR5[402] ^ VAR5[401] ^ VAR5[400] ^ VAR5[399] ^ VAR5[395] ^ VAR5[393] ^ VAR5[392] ^ VAR5[391] ^ VAR5[388] ^ VAR5[385] ^ VAR5[384] ^ VAR5[383] ^ VAR5[381] ^ VAR5[379] ^ VAR5[378] ^ VAR5[377] ^ VAR5[376] ^ VAR5[375] ^ VAR5[374] ^ VAR5[372] ^ VAR5[370] ^ VAR5[369] ^ VAR5[368] ^ VAR5[367] ^ VAR5[366] ^ VAR5[365] ^ VAR5[363] ^ VAR5[362] ^ VAR5[360] ^ VAR5[359] ^ VAR5[358] ^ VAR5[354] ^ VAR5[353] ^ VAR5[352] ^ VAR5[347] ^ VAR5[344] ^ VAR5[343] ^ VAR5[342] ^ VAR5[341] ^ VAR5[340] ^ VAR5[339] ^ VAR5[334] ^ VAR5[332] ^ VAR5[330] ^ VAR5[327] ^ VAR5[326] ^ VAR5[324] ^ VAR5[323] ^ VAR5[321] ^ VAR5[320] ^ VAR5[319] ^ VAR5[318] ^ VAR5[314] ^ VAR5[313] ^ VAR5[312] ^ VAR5[310] ^ VAR5[308] ^ VAR5[307] ^ VAR5[306] ^ VAR5[304] ^ VAR5[302] ^ VAR5[300] ^ VAR5[299] ^ VAR5[294] ^ VAR5[291] ^ VAR5[289] ^ VAR5[287] ^ VAR5[283] ^ VAR5[279] ^ VAR5[277] ^ VAR5[274] ^ VAR5[273] ^ VAR5[272] ^ VAR5[269] ^ VAR5[268] ^ VAR5[267] ^ VAR5[265] ^ VAR5[264] ^ VAR5[259] ^ VAR5[258] ^ VAR5[255] ^ VAR5[254] ^ VAR5[253] ^ VAR5[251] ^ VAR5[250] ^ VAR5[247] ^ VAR5[245] ^ VAR5[242] ^ VAR5[241] ^ VAR5[240] ^ VAR5[236] ^ VAR5[235] ^ VAR5[234] ^ VAR5[233] ^ VAR5[229] ^ VAR5[226] ^ VAR5[225] ^ VAR5[224] ^ VAR5[223] ^ VAR5[222] ^ VAR5[217] ^ VAR5[214] ^ VAR5[211] ^ VAR5[208] ^ VAR5[207] ^ VAR5[205] ^ VAR5[203] ^ VAR5[201] ^ VAR5[200] ^ VAR5[199] ^ VAR5[195] ^ VAR5[194] ^ VAR5[193] ^ VAR5[192] ^ VAR5[190] ^ VAR5[189] ^ VAR5[187] ^ VAR5[181] ^ VAR5[180] ^ VAR5[179] ^ VAR5[173] ^ VAR5[171] ^ VAR5[169] ^ VAR5[167] ^ VAR5[166] ^ VAR5[165] ^ VAR5[163] ^ VAR5[162] ^ VAR5[160] ^ VAR5[159] ^ VAR5[158] ^ VAR5[157] ^ VAR5[155] ^ VAR5[153] ^ VAR5[152] ^ VAR5[150] ^ VAR5[149] ^ VAR5[147] ^ VAR5[140] ^ VAR5[139] ^ VAR5[138] ^ VAR5[136] ^ VAR5[128] ^ VAR5[127] ^ VAR5[126] ^ VAR5[121] ^ VAR5[120] ^ VAR5[114] ^ VAR5[112] ^ VAR5[111] ^ VAR5[110] ^ VAR5[108] ^ VAR5[107] ^ VAR5[106] ^ VAR5[104] ^ VAR5[103] ^ VAR5[101] ^ VAR5[100] ^ VAR5[98] ^ VAR5[96] ^ VAR5[95] ^ VAR5[93] ^ VAR5[91] ^ VAR5[89] ^ VAR5[88] ^ VAR5[87] ^ VAR5[85] ^ VAR5[84] ^ VAR5[81] ^ VAR5[79] ^ VAR5[74] ^ VAR5[71] ^ VAR5[69] ^ VAR5[68] ^ VAR5[67] ^ VAR5[66] ^ VAR5[65] ^ VAR5[64] ^ VAR5[61] ^ VAR5[60] ^ VAR5[58] ^ VAR5[57] ^ VAR5[55] ^ VAR5[54] ^ VAR5[53] ^ VAR5[52] ^ VAR5[51] ^ VAR5[49] ^ VAR5[48] ^ VAR5[47] ^ VAR5[42] ^ VAR5[40] ^ VAR5[38] ^ VAR5[37] ^ VAR5[35] ^ VAR5[31] ^ VAR5[28] ^ VAR5[26] ^ VAR5[25] ^ VAR5[24] ^ VAR5[22] ^ VAR5[20] ^ VAR5[19] ^ VAR5[17] ^ VAR5[16] ^ VAR5[13] ^ VAR5[12] ^ VAR5[11] ^ VAR5[9] ^ VAR5[8] ^ VAR5[5] ^ VAR5[4] ^ VAR5[0] ^ VAR3[4] ^ VAR3[6] ^ VAR3[11] ^ VAR3[12] ^ VAR3[14] ^ VAR3[15];
VAR1[6] = VAR5[1023] ^ VAR5[1021] ^ VAR5[1020] ^ VAR5[1015] ^ VAR5[1013] ^ VAR5[1008] ^ VAR5[1007] ^ VAR5[1005] ^ VAR5[1004] ^ VAR5[1002] ^ VAR5[1001] ^ VAR5[1000] ^ VAR5[993] ^ VAR5[991] ^ VAR5[990] ^ VAR5[988] ^ VAR5[984] ^ VAR5[983] ^ VAR5[981] ^ VAR5[977] ^ VAR5[975] ^ VAR5[974] ^ VAR5[972] ^ VAR5[971] ^ VAR5[970] ^ VAR5[968] ^ VAR5[966] ^ VAR5[965] ^ VAR5[962] ^ VAR5[957] ^ VAR5[955] ^ VAR5[953] ^ VAR5[950] ^ VAR5[949] ^ VAR5[948] ^ VAR5[946] ^ VAR5[944] ^ VAR5[941] ^ VAR5[938] ^ VAR5[930] ^ VAR5[929] ^ VAR5[928] ^ VAR5[926] ^ VAR5[924] ^ VAR5[923] ^ VAR5[922] ^ VAR5[921] ^ VAR5[919] ^ VAR5[914] ^ VAR5[913] ^ VAR5[911] ^ VAR5[905] ^ VAR5[903] ^ VAR5[902] ^ VAR5[901] ^ VAR5[900] ^ VAR5[899] ^ VAR5[896] ^ VAR5[894] ^ VAR5[893] ^ VAR5[890] ^ VAR5[889] ^ VAR5[886] ^ VAR5[884] ^ VAR5[883] ^ VAR5[882] ^ VAR5[880] ^ VAR5[879] ^ VAR5[878] ^ VAR5[877] ^ VAR5[875] ^ VAR5[874] ^ VAR5[871] ^ VAR5[870] ^ VAR5[869] ^ VAR5[866] ^ VAR5[857] ^ VAR5[855] ^ VAR5[853] ^ VAR5[852] ^ VAR5[848] ^ VAR5[847] ^ VAR5[845] ^ VAR5[842] ^ VAR5[839] ^ VAR5[835] ^ VAR5[834] ^ VAR5[833] ^ VAR5[832] ^ VAR5[831] ^ VAR5[828] ^ VAR5[823] ^ VAR5[822] ^ VAR5[821] ^ VAR5[820] ^ VAR5[816] ^ VAR5[812] ^ VAR5[810] ^ VAR5[809] ^ VAR5[808] ^ VAR5[806] ^ VAR5[804] ^ VAR5[803] ^ VAR5[801] ^ VAR5[799] ^ VAR5[797] ^ VAR5[796] ^ VAR5[794] ^ VAR5[793] ^ VAR5[792] ^ VAR5[790] ^ VAR5[787] ^ VAR5[785] ^ VAR5[784] ^ VAR5[783] ^ VAR5[780] ^ VAR5[779] ^ VAR5[778] ^ VAR5[777] ^ VAR5[776] ^ VAR5[771] ^ VAR5[769] ^ VAR5[765] ^ VAR5[764] ^ VAR5[763] ^ VAR5[762] ^ VAR5[761] ^ VAR5[758] ^ VAR5[756] ^ VAR5[755] ^ VAR5[753] ^ VAR5[752] ^ VAR5[745] ^ VAR5[744] ^ VAR5[743] ^ VAR5[742] ^ VAR5[741] ^ VAR5[740] ^ VAR5[738] ^ VAR5[735] ^ VAR5[732] ^ VAR5[731] ^ VAR5[726] ^ VAR5[725] ^ VAR5[724] ^ VAR5[723] ^ VAR5[722] ^ VAR5[721] ^ VAR5[719] ^ VAR5[718] ^ VAR5[717] ^ VAR5[715] ^ VAR5[711] ^ VAR5[710] ^ VAR5[708] ^ VAR5[706] ^ VAR5[705] ^ VAR5[703] ^ VAR5[702] ^ VAR5[700] ^ VAR5[697] ^ VAR5[696] ^ VAR5[693] ^ VAR5[689] ^ VAR5[687] ^ VAR5[686] ^ VAR5[685] ^ VAR5[684] ^ VAR5[682] ^ VAR5[681] ^ VAR5[679] ^ VAR5[677] ^ VAR5[676] ^ VAR5[675] ^ VAR5[670] ^ VAR5[668] ^ VAR5[667] ^ VAR5[666] ^ VAR5[665] ^ VAR5[664] ^ VAR5[663] ^ VAR5[662] ^ VAR5[657] ^ VAR5[656] ^ VAR5[655] ^ VAR5[653] ^ VAR5[652] ^ VAR5[651] ^ VAR5[650] ^ VAR5[649] ^ VAR5[643] ^ VAR5[636] ^ VAR5[635] ^ VAR5[634] ^ VAR5[633] ^ VAR5[630] ^ VAR5[629] ^ VAR5[628] ^ VAR5[627] ^ VAR5[625] ^ VAR5[621] ^ VAR5[619] ^ VAR5[615] ^ VAR5[612] ^ VAR5[611] ^ VAR5[610] ^ VAR5[606] ^ VAR5[601] ^ VAR5[600] ^ VAR5[598] ^ VAR5[593] ^ VAR5[590] ^ VAR5[589] ^ VAR5[586] ^ VAR5[582] ^ VAR5[578] ^ VAR5[577] ^ VAR5[572] ^ VAR5[567] ^ VAR5[565] ^ VAR5[561] ^ VAR5[555] ^ VAR5[553] ^ VAR5[551] ^ VAR5[550] ^ VAR5[548] ^ VAR5[546] ^ VAR5[538] ^ VAR5[537] ^ VAR5[536] ^ VAR5[535] ^ VAR5[533] ^ VAR5[531] ^ VAR5[528] ^ VAR5[525] ^ VAR5[524] ^ VAR5[522] ^ VAR5[519] ^ VAR5[516] ^ VAR5[515] ^ VAR5[514] ^ VAR5[513] ^ VAR5[511] ^ VAR5[507] ^ VAR5[504] ^ VAR5[501] ^ VAR5[500] ^ VAR5[499] ^ VAR5[498] ^ VAR5[497] ^ VAR5[496] ^ VAR5[495] ^ VAR5[494] ^ VAR5[493] ^ VAR5[490] ^ VAR5[488] ^ VAR5[487] ^ VAR5[486] ^ VAR5[485] ^ VAR5[483] ^ VAR5[482] ^ VAR5[481] ^ VAR5[479] ^ VAR5[478] ^ VAR5[475] ^ VAR5[474] ^ VAR5[472] ^ VAR5[470] ^ VAR5[469] ^ VAR5[467] ^ VAR5[464] ^ VAR5[462] ^ VAR5[460] ^ VAR5[456] ^ VAR5[454] ^ VAR5[453] ^ VAR5[452] ^ VAR5[450] ^ VAR5[449] ^ VAR5[448] ^ VAR5[447] ^ VAR5[446] ^ VAR5[445] ^ VAR5[444] ^ VAR5[443] ^ VAR5[440] ^ VAR5[439] ^ VAR5[438] ^ VAR5[434] ^ VAR5[433] ^ VAR5[430] ^ VAR5[428] ^ VAR5[426] ^ VAR5[425] ^ VAR5[424] ^ VAR5[420] ^ VAR5[419] ^ VAR5[417] ^ VAR5[416] ^ VAR5[415] ^ VAR5[414] ^ VAR5[413] ^ VAR5[412] ^ VAR5[411] ^ VAR5[408] ^ VAR5[406] ^ VAR5[405] ^ VAR5[403] ^ VAR5[402] ^ VAR5[401] ^ VAR5[400] ^ VAR5[396] ^ VAR5[394] ^ VAR5[393] ^ VAR5[392] ^ VAR5[389] ^ VAR5[386] ^ VAR5[385] ^ VAR5[384] ^ VAR5[382] ^ VAR5[380] ^ VAR5[379] ^ VAR5[378] ^ VAR5[377] ^ VAR5[376] ^ VAR5[375] ^ VAR5[373] ^ VAR5[371] ^ VAR5[370] ^ VAR5[369] ^ VAR5[368] ^ VAR5[367] ^ VAR5[366] ^ VAR5[364] ^ VAR5[363] ^ VAR5[361] ^ VAR5[360] ^ VAR5[359] ^ VAR5[355] ^ VAR5[354] ^ VAR5[353] ^ VAR5[348] ^ VAR5[345] ^ VAR5[344] ^ VAR5[343] ^ VAR5[342] ^ VAR5[341] ^ VAR5[340] ^ VAR5[335] ^ VAR5[333] ^ VAR5[331] ^ VAR5[328] ^ VAR5[327] ^ VAR5[325] ^ VAR5[324] ^ VAR5[322] ^ VAR5[321] ^ VAR5[320] ^ VAR5[319] ^ VAR5[315] ^ VAR5[314] ^ VAR5[313] ^ VAR5[311] ^ VAR5[309] ^ VAR5[308] ^ VAR5[307] ^ VAR5[305] ^ VAR5[303] ^ VAR5[301] ^ VAR5[300] ^ VAR5[295] ^ VAR5[292] ^ VAR5[290] ^ VAR5[288] ^ VAR5[284] ^ VAR5[280] ^ VAR5[278] ^ VAR5[275] ^ VAR5[274] ^ VAR5[273] ^ VAR5[270] ^ VAR5[269] ^ VAR5[268] ^ VAR5[266] ^ VAR5[265] ^ VAR5[260] ^ VAR5[259] ^ VAR5[256] ^ VAR5[255] ^ VAR5[254] ^ VAR5[252] ^ VAR5[251] ^ VAR5[248] ^ VAR5[246] ^ VAR5[243] ^ VAR5[242] ^ VAR5[241] ^ VAR5[237] ^ VAR5[236] ^ VAR5[235] ^ VAR5[234] ^ VAR5[230] ^ VAR5[227] ^ VAR5[226] ^ VAR5[225] ^ VAR5[224] ^ VAR5[223] ^ VAR5[218] ^ VAR5[215] ^ VAR5[212] ^ VAR5[209] ^ VAR5[208] ^ VAR5[206] ^ VAR5[204] ^ VAR5[202] ^ VAR5[201] ^ VAR5[200] ^ VAR5[196] ^ VAR5[195] ^ VAR5[194] ^ VAR5[193] ^ VAR5[191] ^ VAR5[190] ^ VAR5[188] ^ VAR5[182] ^ VAR5[181] ^ VAR5[180] ^ VAR5[174] ^ VAR5[172] ^ VAR5[170] ^ VAR5[168] ^ VAR5[167] ^ VAR5[166] ^ VAR5[164] ^ VAR5[163] ^ VAR5[161] ^ VAR5[160] ^ VAR5[159] ^ VAR5[158] ^ VAR5[156] ^ VAR5[154] ^ VAR5[153] ^ VAR5[151] ^ VAR5[150] ^ VAR5[148] ^ VAR5[141] ^ VAR5[140] ^ VAR5[139] ^ VAR5[137] ^ VAR5[129] ^ VAR5[128] ^ VAR5[127] ^ VAR5[122] ^ VAR5[121] ^ VAR5[115] ^ VAR5[113] ^ VAR5[112] ^ VAR5[111] ^ VAR5[109] ^ VAR5[108] ^ VAR5[107] ^ VAR5[105] ^ VAR5[104] ^ VAR5[102] ^ VAR5[101] ^ VAR5[99] ^ VAR5[97] ^ VAR5[96] ^ VAR5[94] ^ VAR5[92] ^ VAR5[90] ^ VAR5[89] ^ VAR5[88] ^ VAR5[86] ^ VAR5[85] ^ VAR5[82] ^ VAR5[80] ^ VAR5[75] ^ VAR5[72] ^ VAR5[70] ^ VAR5[69] ^ VAR5[68] ^ VAR5[67] ^ VAR5[66] ^ VAR5[65] ^ VAR5[62] ^ VAR5[61] ^ VAR5[59] ^ VAR5[58] ^ VAR5[56] ^ VAR5[55] ^ VAR5[54] ^ VAR5[53] ^ VAR5[52] ^ VAR5[50] ^ VAR5[49] ^ VAR5[48] ^ VAR5[43] ^ VAR5[41] ^ VAR5[39] ^ VAR5[38] ^ VAR5[36] ^ VAR5[32] ^ VAR5[29] ^ VAR5[27] ^ VAR5[26] ^ VAR5[25] ^ VAR5[23] ^ VAR5[21] ^ VAR5[20] ^ VAR5[18] ^ VAR5[17] ^ VAR5[14] ^ VAR5[13] ^ VAR5[12] ^ VAR5[10] ^ VAR5[9] ^ VAR5[6] ^ VAR5[5] ^ VAR5[1] ^ VAR3[0] ^ VAR3[5] ^ VAR3[7] ^ VAR3[12] ^ VAR3[13] ^ VAR3[15];
VAR1[7] = VAR5[1022] ^ VAR5[1021] ^ VAR5[1016] ^ VAR5[1014] ^ VAR5[1009] ^ VAR5[1008] ^ VAR5[1006] ^ VAR5[1005] ^ VAR5[1003] ^ VAR5[1002] ^ VAR5[1001] ^ VAR5[994] ^ VAR5[992] ^ VAR5[991] ^ VAR5[989] ^ VAR5[985] ^ VAR5[984] ^ VAR5[982] ^ VAR5[978] ^ VAR5[976] ^ VAR5[975] ^ VAR5[973] ^ VAR5[972] ^ VAR5[971] ^ VAR5[969] ^ VAR5[967] ^ VAR5[966] ^ VAR5[963] ^ VAR5[958] ^ VAR5[956] ^ VAR5[954] ^ VAR5[951] ^ VAR5[950] ^ VAR5[949] ^ VAR5[947] ^ VAR5[945] ^ VAR5[942] ^ VAR5[939] ^ VAR5[931] ^ VAR5[930] ^ VAR5[929] ^ VAR5[927] ^ VAR5[925] ^ VAR5[924] ^ VAR5[923] ^ VAR5[922] ^ VAR5[920] ^ VAR5[915] ^ VAR5[914] ^ VAR5[912] ^ VAR5[906] ^ VAR5[904] ^ VAR5[903] ^ VAR5[902] ^ VAR5[901] ^ VAR5[900] ^ VAR5[897] ^ VAR5[895] ^ VAR5[894] ^ VAR5[891] ^ VAR5[890] ^ VAR5[887] ^ VAR5[885] ^ VAR5[884] ^ VAR5[883] ^ VAR5[881] ^ VAR5[880] ^ VAR5[879] ^ VAR5[878] ^ VAR5[876] ^ VAR5[875] ^ VAR5[872] ^ VAR5[871] ^ VAR5[870] ^ VAR5[867] ^ VAR5[858] ^ VAR5[856] ^ VAR5[854] ^ VAR5[853] ^ VAR5[849] ^ VAR5[848] ^ VAR5[846] ^ VAR5[843] ^ VAR5[840] ^ VAR5[836] ^ VAR5[835] ^ VAR5[834] ^ VAR5[833] ^ VAR5[832] ^ VAR5[829] ^ VAR5[824] ^ VAR5[823] ^ VAR5[822] ^ VAR5[821] ^ VAR5[817] ^ VAR5[813] ^ VAR5[811] ^ VAR5[810] ^ VAR5[809] ^ VAR5[807] ^ VAR5[805] ^ VAR5[804] ^ VAR5[802] ^ VAR5[800] ^ VAR5[798] ^ VAR5[797] ^ VAR5[795] ^ VAR5[794] ^ VAR5[793] ^ VAR5[791] ^ VAR5[788] ^ VAR5[786] ^ VAR5[785] ^ VAR5[784] ^ VAR5[781] ^ VAR5[780] ^ VAR5[779] ^ VAR5[778] ^ VAR5[777] ^ VAR5[772] ^ VAR5[770] ^ VAR5[766] ^ VAR5[765] ^ VAR5[764] ^ VAR5[763] ^ VAR5[762] ^ VAR5[759] ^ VAR5[757] ^ VAR5[756] ^ VAR5[754] ^ VAR5[753] ^ VAR5[746] ^ VAR5[745] ^ VAR5[744] ^ VAR5[743] ^ VAR5[742] ^ VAR5[741] ^ VAR5[739] ^ VAR5[736] ^ VAR5[733] ^ VAR5[732] ^ VAR5[727] ^ VAR5[726] ^ VAR5[725] ^ VAR5[724] ^ VAR5[723] ^ VAR5[722] ^ VAR5[720] ^ VAR5[719] ^ VAR5[718] ^ VAR5[716] ^ VAR5[712] ^ VAR5[711] ^ VAR5[709] ^ VAR5[707] ^ VAR5[706] ^ VAR5[704] ^ VAR5[703] ^ VAR5[701] ^ VAR5[698] ^ VAR5[697] ^ VAR5[694] ^ VAR5[690] ^ VAR5[688] ^ VAR5[687] ^ VAR5[686] ^ VAR5[685] ^ VAR5[683] ^ VAR5[682] ^ VAR5[680] ^ VAR5[678] ^ VAR5[677] ^ VAR5[676] ^ VAR5[671] ^ VAR5[669] ^ VAR5[668] ^ VAR5[667] ^ VAR5[666] ^ VAR5[665] ^ VAR5[664] ^ VAR5[663] ^ VAR5[658] ^ VAR5[657] ^ VAR5[656] ^ VAR5[654] ^ VAR5[653] ^ VAR5[652] ^ VAR5[651] ^ VAR5[650] ^ VAR5[644] ^ VAR5[637] ^ VAR5[636] ^ VAR5[635] ^ VAR5[634] ^ VAR5[631] ^ VAR5[630] ^ VAR5[629] ^ VAR5[628] ^ VAR5[626] ^ VAR5[622] ^ VAR5[620] ^ VAR5[616] ^ VAR5[613] ^ VAR5[612] ^ VAR5[611] ^ VAR5[607] ^ VAR5[602] ^ VAR5[601] ^ VAR5[599] ^ VAR5[594] ^ VAR5[591] ^ VAR5[590] ^ VAR5[587] ^ VAR5[583] ^ VAR5[579] ^ VAR5[578] ^ VAR5[573] ^ VAR5[568] ^ VAR5[566] ^ VAR5[562] ^ VAR5[556] ^ VAR5[554] ^ VAR5[552] ^ VAR5[551] ^ VAR5[549] ^ VAR5[547] ^ VAR5[539] ^ VAR5[538] ^ VAR5[537] ^ VAR5[536] ^ VAR5[534] ^ VAR5[532] ^ VAR5[529] ^ VAR5[526] ^ VAR5[525] ^ VAR5[523] ^ VAR5[520] ^ VAR5[517] ^ VAR5[516] ^ VAR5[515] ^ VAR5[514] ^ VAR5[512] ^ VAR5[508] ^ VAR5[505] ^ VAR5[502] ^ VAR5[501] ^ VAR5[500] ^ VAR5[499] ^ VAR5[498] ^ VAR5[497] ^ VAR5[496] ^ VAR5[495] ^ VAR5[494] ^ VAR5[491] ^ VAR5[489] ^ VAR5[488] ^ VAR5[487] ^ VAR5[486] ^ VAR5[484] ^ VAR5[483] ^ VAR5[482] ^ VAR5[480] ^ VAR5[479] ^ VAR5[476] ^ VAR5[475] ^ VAR5[473] ^ VAR5[471] ^ VAR5[470] ^ VAR5[468] ^ VAR5[465] ^ VAR5[463] ^ VAR5[461] ^ VAR5[457] ^ VAR5[455] ^ VAR5[454] ^ VAR5[453] ^ VAR5[451] ^ VAR5[450] ^ VAR5[449] ^ VAR5[448] ^ VAR5[447] ^ VAR5[446] ^ VAR5[445] ^ VAR5[444] ^ VAR5[441] ^ VAR5[440] ^ VAR5[439] ^ VAR5[435] ^ VAR5[434] ^ VAR5[431] ^ VAR5[429] ^ VAR5[427] ^ VAR5[426] ^ VAR5[425] ^ VAR5[421] ^ VAR5[420] ^ VAR5[418] ^ VAR5[417] ^ VAR5[416] ^ VAR5[415] ^ VAR5[414] ^ VAR5[413] ^ VAR5[412] ^ VAR5[409] ^ VAR5[407] ^ VAR5[406] ^ VAR5[404] ^ VAR5[403] ^ VAR5[402] ^ VAR5[401] ^ VAR5[397] ^ VAR5[395] ^ VAR5[394] ^ VAR5[393] ^ VAR5[390] ^ VAR5[387] ^ VAR5[386] ^ VAR5[385] ^ VAR5[383] ^ VAR5[381] ^ VAR5[380] ^ VAR5[379] ^ VAR5[378] ^ VAR5[377] ^ VAR5[376] ^ VAR5[374] ^ VAR5[372] ^ VAR5[371] ^ VAR5[370] ^ VAR5[369] ^ VAR5[368] ^ VAR5[367] ^ VAR5[365] ^ VAR5[364] ^ VAR5[362] ^ VAR5[361] ^ VAR5[360] ^ VAR5[356] ^ VAR5[355] ^ VAR5[354] ^ VAR5[349] ^ VAR5[346] ^ VAR5[345] ^ VAR5[344] ^ VAR5[343] ^ VAR5[342] ^ VAR5[341] ^ VAR5[336] ^ VAR5[334] ^ VAR5[332] ^ VAR5[329] ^ VAR5[328] ^ VAR5[326] ^ VAR5[325] ^ VAR5[323] ^ VAR5[322] ^ VAR5[321] ^ VAR5[320] ^ VAR5[316] ^ VAR5[315] ^ VAR5[314] ^ VAR5[312] ^ VAR5[310] ^ VAR5[309] ^ VAR5[308] ^ VAR5[306] ^ VAR5[304] ^ VAR5[302] ^ VAR5[301] ^ VAR5[296] ^ VAR5[293] ^ VAR5[291] ^ VAR5[289] ^ VAR5[285] ^ VAR5[281] ^ VAR5[279] ^ VAR5[276] ^ VAR5[275] ^ VAR5[274] ^ VAR5[271] ^ VAR5[270] ^ VAR5[269] ^ VAR5[267] ^ VAR5[266] ^ VAR5[261] ^ VAR5[260] ^ VAR5[257] ^ VAR5[256] ^ VAR5[255] ^ VAR5[253] ^ VAR5[252] ^ VAR5[249] ^ VAR5[247] ^ VAR5[244] ^ VAR5[243] ^ VAR5[242] ^ VAR5[238] ^ VAR5[237] ^ VAR5[236] ^ VAR5[235] ^ VAR5[231] ^ VAR5[228] ^ VAR5[227] ^ VAR5[226] ^ VAR5[225] ^ VAR5[224] ^ VAR5[219] ^ VAR5[216] ^ VAR5[213] ^ VAR5[210] ^ VAR5[209] ^ VAR5[207] ^ VAR5[205] ^ VAR5[203] ^ VAR5[202] ^ VAR5[201] ^ VAR5[197] ^ VAR5[196] ^ VAR5[195] ^ VAR5[194] ^ VAR5[192] ^ VAR5[191] ^ VAR5[189] ^ VAR5[183] ^ VAR5[182] ^ VAR5[181] ^ VAR5[175] ^ VAR5[173] ^ VAR5[171] ^ VAR5[169] ^ VAR5[168] ^ VAR5[167] ^ VAR5[165] ^ VAR5[164] ^ VAR5[162] ^ VAR5[161] ^ VAR5[160] ^ VAR5[159] ^ VAR5[157] ^ VAR5[155] ^ VAR5[154] ^ VAR5[152] ^ VAR5[151] ^ VAR5[149] ^ VAR5[142] ^ VAR5[141] ^ VAR5[140] ^ VAR5[138] ^ VAR5[130] ^ VAR5[129] ^ VAR5[128] ^ VAR5[123] ^ VAR5[122] ^ VAR5[116] ^ VAR5[114] ^ VAR5[113] ^ VAR5[112] ^ VAR5[110] ^ VAR5[109] ^ VAR5[108] ^ VAR5[106] ^ VAR5[105] ^ VAR5[103] ^ VAR5[102] ^ VAR5[100] ^ VAR5[98] ^ VAR5[97] ^ VAR5[95] ^ VAR5[93] ^ VAR5[91] ^ VAR5[90] ^ VAR5[89] ^ VAR5[87] ^ VAR5[86] ^ VAR5[83] ^ VAR5[81] ^ VAR5[76] ^ VAR5[73] ^ VAR5[71] ^ VAR5[70] ^ VAR5[69] ^ VAR5[68] ^ VAR5[67] ^ VAR5[66] ^ VAR5[63] ^ VAR5[62] ^ VAR5[60] ^ VAR5[59] ^ VAR5[57] ^ VAR5[56] ^ VAR5[55] ^ VAR5[54] ^ VAR5[53] ^ VAR5[51] ^ VAR5[50] ^ VAR5[49] ^ VAR5[44] ^ VAR5[42] ^ VAR5[40] ^ VAR5[39] ^ VAR5[37] ^ VAR5[33] ^ VAR5[30] ^ VAR5[28] ^ VAR5[27] ^ VAR5[26] ^ VAR5[24] ^ VAR5[22] ^ VAR5[21] ^ VAR5[19] ^ VAR5[18] ^ VAR5[15] ^ VAR5[14] ^ VAR5[13] ^ VAR5[11] ^ VAR5[10] ^ VAR5[7] ^ VAR5[6] ^ VAR5[2] ^ VAR3[0] ^ VAR3[1] ^ VAR3[6] ^ VAR3[8] ^ VAR3[13] ^ VAR3[14];
VAR1[8] = VAR5[1023] ^ VAR5[1022] ^ VAR5[1017] ^ VAR5[1015] ^ VAR5[1010] ^ VAR5[1009] ^ VAR5[1007] ^ VAR5[1006] ^ VAR5[1004] ^ VAR5[1003] ^ VAR5[1002] ^ VAR5[995] ^ VAR5[993] ^ VAR5[992] ^ VAR5[990] ^ VAR5[986] ^ VAR5[985] ^ VAR5[983] ^ VAR5[979] ^ VAR5[977] ^ VAR5[976] ^ VAR5[974] ^ VAR5[973] ^ VAR5[972] ^ VAR5[970] ^ VAR5[968] ^ VAR5[967] ^ VAR5[964] ^ VAR5[959] ^ VAR5[957] ^ VAR5[955] ^ VAR5[952] ^ VAR5[951] ^ VAR5[950] ^ VAR5[948] ^ VAR5[946] ^ VAR5[943] ^ VAR5[940] ^ VAR5[932] ^ VAR5[931] ^ VAR5[930] ^ VAR5[928] ^ VAR5[926] ^ VAR5[925] ^ VAR5[924] ^ VAR5[923] ^ VAR5[921] ^ VAR5[916] ^ VAR5[915] ^ VAR5[913] ^ VAR5[907] ^ VAR5[905] ^ VAR5[904] ^ VAR5[903] ^ VAR5[902] ^ VAR5[901] ^ VAR5[898] ^ VAR5[896] ^ VAR5[895] ^ VAR5[892] ^ VAR5[891] ^ VAR5[888] ^ VAR5[886] ^ VAR5[885] ^ VAR5[884] ^ VAR5[882] ^ VAR5[881] ^ VAR5[880] ^ VAR5[879] ^ VAR5[877] ^ VAR5[876] ^ VAR5[873] ^ VAR5[872] ^ VAR5[871] ^ VAR5[868] ^ VAR5[859] ^ VAR5[857] ^ VAR5[855] ^ VAR5[854] ^ VAR5[850] ^ VAR5[849] ^ VAR5[847] ^ VAR5[844] ^ VAR5[841] ^ VAR5[837] ^ VAR5[836] ^ VAR5[835] ^ VAR5[834] ^ VAR5[833] ^ VAR5[830] ^ VAR5[825] ^ VAR5[824] ^ VAR5[823] ^ VAR5[822] ^ VAR5[818] ^ VAR5[814] ^ VAR5[812] ^ VAR5[811] ^ VAR5[810] ^ VAR5[808] ^ VAR5[806] ^ VAR5[805] ^ VAR5[803] ^ VAR5[801] ^ VAR5[799] ^ VAR5[798] ^ VAR5[796] ^ VAR5[795] ^ VAR5[794] ^ VAR5[792] ^ VAR5[789] ^ VAR5[787] ^ VAR5[786] ^ VAR5[785] ^ VAR5[782] ^ VAR5[781] ^ VAR5[780] ^ VAR5[779] ^ VAR5[778] ^ VAR5[773] ^ VAR5[771] ^ VAR5[767] ^ VAR5[766] ^ VAR5[765] ^ VAR5[764] ^ VAR5[763] ^ VAR5[760] ^ VAR5[758] ^ VAR5[757] ^ VAR5[755] ^ VAR5[754] ^ VAR5[747] ^ VAR5[746] ^ VAR5[745] ^ VAR5[744] ^ VAR5[743] ^ VAR5[742] ^ VAR5[740] ^ VAR5[737] ^ VAR5[734] ^ VAR5[733] ^ VAR5[728] ^ VAR5[727] ^ VAR5[726] ^ VAR5[725] ^ VAR5[724] ^ VAR5[723] ^ VAR5[721] ^ VAR5[720] ^ VAR5[719] ^ VAR5[717] ^ VAR5[713] ^ VAR5[712] ^ VAR5[710] ^ VAR5[708] ^ VAR5[707] ^ VAR5[705] ^ VAR5[704] ^ VAR5[702] ^ VAR5[699] ^ VAR5[698] ^ VAR5[695] ^ VAR5[691] ^ VAR5[689] ^ VAR5[688] ^ VAR5[687] ^ VAR5[686] ^ VAR5[684] ^ VAR5[683] ^ VAR5[681] ^ VAR5[679] ^ VAR5[678] ^ VAR5[677] ^ VAR5[672] ^ VAR5[670] ^ VAR5[669] ^ VAR5[668] ^ VAR5[667] ^ VAR5[666] ^ VAR5[665] ^ VAR5[664] ^ VAR5[659] ^ VAR5[658] ^ VAR5[657] ^ VAR5[655] ^ VAR5[654] ^ VAR5[653] ^ VAR5[652] ^ VAR5[651] ^ VAR5[645] ^ VAR5[638] ^ VAR5[637] ^ VAR5[636] ^ VAR5[635] ^ VAR5[632] ^ VAR5[631] ^ VAR5[630] ^ VAR5[629] ^ VAR5[627] ^ VAR5[623] ^ VAR5[621] ^ VAR5[617] ^ VAR5[614] ^ VAR5[613] ^ VAR5[612] ^ VAR5[608] ^ VAR5[603] ^ VAR5[602] ^ VAR5[600] ^ VAR5[595] ^ VAR5[592] ^ VAR5[591] ^ VAR5[588] ^ VAR5[584] ^ VAR5[580] ^ VAR5[579] ^ VAR5[574] ^ VAR5[569] ^ VAR5[567] ^ VAR5[563] ^ VAR5[557] ^ VAR5[555] ^ VAR5[553] ^ VAR5[552] ^ VAR5[550] ^ VAR5[548] ^ VAR5[540] ^ VAR5[539] ^ VAR5[538] ^ VAR5[537] ^ VAR5[535] ^ VAR5[533] ^ VAR5[530] ^ VAR5[527] ^ VAR5[526] ^ VAR5[524] ^ VAR5[521] ^ VAR5[518] ^ VAR5[517] ^ VAR5[516] ^ VAR5[515] ^ VAR5[513] ^ VAR5[509] ^ VAR5[506] ^ VAR5[503] ^ VAR5[502] ^ VAR5[501] ^ VAR5[500] ^ VAR5[499] ^ VAR5[498] ^ VAR5[497] ^ VAR5[496] ^ VAR5[495] ^ VAR5[492] ^ VAR5[490] ^ VAR5[489] ^ VAR5[488] ^ VAR5[487] ^ VAR5[485] ^ VAR5[484] ^ VAR5[483] ^ VAR5[481] ^ VAR5[480] ^ VAR5[477] ^ VAR5[476] ^ VAR5[474] ^ VAR5[472] ^ VAR5[471] ^ VAR5[469] ^ VAR5[466] ^ VAR5[464] ^ VAR5[462] ^ VAR5[458] ^ VAR5[456] ^ VAR5[455] ^ VAR5[454] ^ VAR5[452] ^ VAR5[451] ^ VAR5[450] ^ VAR5[449] ^ VAR5[448] ^ VAR5[447] ^ VAR5[446] ^ VAR5[445] ^ VAR5[442] ^ VAR5[441] ^ VAR5[440] ^ VAR5[436] ^ VAR5[435] ^ VAR5[432] ^ VAR5[430] ^ VAR5[428] ^ VAR5[427] ^ VAR5[426] ^ VAR5[422] ^ VAR5[421] ^ VAR5[419] ^ VAR5[418] ^ VAR5[417] ^ VAR5[416] ^ VAR5[415] ^ VAR5[414] ^ VAR5[413] ^ VAR5[410] ^ VAR5[408] ^ VAR5[407] ^ VAR5[405] ^ VAR5[404] ^ VAR5[403] ^ VAR5[402] ^ VAR5[398] ^ VAR5[396] ^ VAR5[395] ^ VAR5[394] ^ VAR5[391] ^ VAR5[388] ^ VAR5[387] ^ VAR5[386] ^ VAR5[384] ^ VAR5[382] ^ VAR5[381] ^ VAR5[380] ^ VAR5[379] ^ VAR5[378] ^ VAR5[377] ^ VAR5[375] ^ VAR5[373] ^ VAR5[372] ^ VAR5[371] ^ VAR5[370] ^ VAR5[369] ^ VAR5[368] ^ VAR5[366] ^ VAR5[365] ^ VAR5[363] ^ VAR5[362] ^ VAR5[361] ^ VAR5[357] ^ VAR5[356] ^ VAR5[355] ^ VAR5[350] ^ VAR5[347] ^ VAR5[346] ^ VAR5[345] ^ VAR5[344] ^ VAR5[343] ^ VAR5[342] ^ VAR5[337] ^ VAR5[335] ^ VAR5[333] ^ VAR5[330] ^ VAR5[329] ^ VAR5[327] ^ VAR5[326] ^ VAR5[324] ^ VAR5[323] ^ VAR5[322] ^ VAR5[321] ^ VAR5[317] ^ VAR5[316] ^ VAR5[315] ^ VAR5[313] ^ VAR5[311] ^ VAR5[310] ^ VAR5[309] ^ VAR5[307] ^ VAR5[305] ^ VAR5[303] ^ VAR5[302] ^ VAR5[297] ^ VAR5[294] ^ VAR5[292] ^ VAR5[290] ^ VAR5[286] ^ VAR5[282] ^ VAR5[280] ^ VAR5[277] ^ VAR5[276] ^ VAR5[275] ^ VAR5[272] ^ VAR5[271] ^ VAR5[270] ^ VAR5[268] ^ VAR5[267] ^ VAR5[262] ^ VAR5[261] ^ VAR5[258] ^ VAR5[257] ^ VAR5[256] ^ VAR5[254] ^ VAR5[253] ^ VAR5[250] ^ VAR5[248] ^ VAR5[245] ^ VAR5[244] ^ VAR5[243] ^ VAR5[239] ^ VAR5[238] ^ VAR5[237] ^ VAR5[236] ^ VAR5[232] ^ VAR5[229] ^ VAR5[228] ^ VAR5[227] ^ VAR5[226] ^ VAR5[225] ^ VAR5[220] ^ VAR5[217] ^ VAR5[214] ^ VAR5[211] ^ VAR5[210] ^ VAR5[208] ^ VAR5[206] ^ VAR5[204] ^ VAR5[203] ^ VAR5[202] ^ VAR5[198] ^ VAR5[197] ^ VAR5[196] ^ VAR5[195] ^ VAR5[193] ^ VAR5[192] ^ VAR5[190] ^ VAR5[184] ^ VAR5[183] ^ VAR5[182] ^ VAR5[176] ^ VAR5[174] ^ VAR5[172] ^ VAR5[170] ^ VAR5[169] ^ VAR5[168] ^ VAR5[166] ^ VAR5[165] ^ VAR5[163] ^ VAR5[162] ^ VAR5[161] ^ VAR5[160] ^ VAR5[158] ^ VAR5[156] ^ VAR5[155] ^ VAR5[153] ^ VAR5[152] ^ VAR5[150] ^ VAR5[143] ^ VAR5[142] ^ VAR5[141] ^ VAR5[139] ^ VAR5[131] ^ VAR5[130] ^ VAR5[129] ^ VAR5[124] ^ VAR5[123] ^ VAR5[117] ^ VAR5[115] ^ VAR5[114] ^ VAR5[113] ^ VAR5[111] ^ VAR5[110] ^ VAR5[109] ^ VAR5[107] ^ VAR5[106] ^ VAR5[104] ^ VAR5[103] ^ VAR5[101] ^ VAR5[99] ^ VAR5[98] ^ VAR5[96] ^ VAR5[94] ^ VAR5[92] ^ VAR5[91] ^ VAR5[90] ^ VAR5[88] ^ VAR5[87] ^ VAR5[84] ^ VAR5[82] ^ VAR5[77] ^ VAR5[74] ^ VAR5[72] ^ VAR5[71] ^ VAR5[70] ^ VAR5[69] ^ VAR5[68] ^ VAR5[67] ^ VAR5[64] ^ VAR5[63] ^ VAR5[61] ^ VAR5[60] ^ VAR5[58] ^ VAR5[57] ^ VAR5[56] ^ VAR5[55] ^ VAR5[54] ^ VAR5[52] ^ VAR5[51] ^ VAR5[50] ^ VAR5[45] ^ VAR5[43] ^ VAR5[41] ^ VAR5[40] ^ VAR5[38] ^ VAR5[34] ^ VAR5[31] ^ VAR5[29] ^ VAR5[28] ^ VAR5[27] ^ VAR5[25] ^ VAR5[23] ^ VAR5[22] ^ VAR5[20] ^ VAR5[19] ^ VAR5[16] ^ VAR5[15] ^ VAR5[14] ^ VAR5[12] ^ VAR5[11] ^ VAR5[8] ^ VAR5[7] ^ VAR5[3] ^ VAR3[1] ^ VAR3[2] ^ VAR3[7] ^ VAR3[9] ^ VAR3[14] ^ VAR3[15];
VAR1[9] = VAR5[1023] ^ VAR5[1018] ^ VAR5[1016] ^ VAR5[1011] ^ VAR5[1010] ^ VAR5[1008] ^ VAR5[1007] ^ VAR5[1005] ^ VAR5[1004] ^ VAR5[1003] ^ VAR5[996] ^ VAR5[994] ^ VAR5[993] ^ VAR5[991] ^ VAR5[987] ^ VAR5[986] ^ VAR5[984] ^ VAR5[980] ^ VAR5[978] ^ VAR5[977] ^ VAR5[975] ^ VAR5[974] ^ VAR5[973] ^ VAR5[971] ^ VAR5[969] ^ VAR5[968] ^ VAR5[965] ^ VAR5[960] ^ VAR5[958] ^ VAR5[956] ^ VAR5[953] ^ VAR5[952] ^ VAR5[951] ^ VAR5[949] ^ VAR5[947] ^ VAR5[944] ^ VAR5[941] ^ VAR5[933] ^ VAR5[932] ^ VAR5[931] ^ VAR5[929] ^ VAR5[927] ^ VAR5[926] ^ VAR5[925] ^ VAR5[924] ^ VAR5[922] ^ VAR5[917] ^ VAR5[916] ^ VAR5[914] ^ VAR5[908] ^ VAR5[906] ^ VAR5[905] ^ VAR5[904] ^ VAR5[903] ^ VAR5[902] ^ VAR5[899] ^ VAR5[897] ^ VAR5[896] ^ VAR5[893] ^ VAR5[892] ^ VAR5[889] ^ VAR5[887] ^ VAR5[886] ^ VAR5[885] ^ VAR5[883] ^ VAR5[882] ^ VAR5[881] ^ VAR5[880] ^ VAR5[878] ^ VAR5[877] ^ VAR5[874] ^ VAR5[873] ^ VAR5[872] ^ VAR5[869] ^ VAR5[860] ^ VAR5[858] ^ VAR5[856] ^ VAR5[855] ^ VAR5[851] ^ VAR5[850] ^ VAR5[848] ^ VAR5[845] ^ VAR5[842] ^ VAR5[838] ^ VAR5[837] ^ VAR5[836] ^ VAR5[835] ^ VAR5[834] ^ VAR5[831] ^ VAR5[826] ^ VAR5[825] ^ VAR5[824] ^ VAR5[823] ^ VAR5[819] ^ VAR5[815] ^ VAR5[813] ^ VAR5[812] ^ VAR5[811] ^ VAR5[809] ^ VAR5[807] ^ VAR5[806] ^ VAR5[804] ^ VAR5[802] ^ VAR5[800] ^ VAR5[799] ^ VAR5[797] ^ VAR5[796] ^ VAR5[795] ^ VAR5[793] ^ VAR5[790] ^ VAR5[788] ^ VAR5[787] ^ VAR5[786] ^ VAR5[783] ^ VAR5[782] ^ VAR5[781] ^ VAR5[780] ^ VAR5[779] ^ VAR5[774] ^ VAR5[772] ^ VAR5[768] ^ VAR5[767] ^ VAR5[766] ^ VAR5[765] ^ VAR5[764] ^ VAR5[761] ^ VAR5[759] ^ VAR5[758] ^ VAR5[756] ^ VAR5[755] ^ VAR5[748] ^ VAR5[747] ^ VAR5[746] ^ VAR5[745] ^ VAR5[744] ^ VAR5[743] ^ VAR5[741] ^ VAR5[738] ^ VAR5[735] ^ VAR5[734] ^ VAR5[729] ^ VAR5[728] ^ VAR5[727] ^ VAR5[726] ^ VAR5[725] ^ VAR5[724] ^ VAR5[722] ^ VAR5[721] ^ VAR5[720] ^ VAR5[718] ^ VAR5[714] ^ VAR5[713] ^ VAR5[711] ^ VAR5[709] ^ VAR5[708] ^ VAR5[706] ^ VAR5[705] ^ VAR5[703] ^ VAR5[700] ^ VAR5[699] ^ VAR5[696] ^ VAR5[692] ^ VAR5[690] ^ VAR5[689] ^ VAR5[688] ^ VAR5[687] ^ VAR5[685] ^ VAR5[684] ^ VAR5[682] ^ VAR5[680] ^ VAR5[679] ^ VAR5[678] ^ VAR5[673] ^ VAR5[671] ^ VAR5[670] ^ VAR5[669] ^ VAR5[668] ^ VAR5[667] ^ VAR5[666] ^ VAR5[665] ^ VAR5[660] ^ VAR5[659] ^ VAR5[658] ^ VAR5[656] ^ VAR5[655] ^ VAR5[654] ^ VAR5[653] ^ VAR5[652] ^ VAR5[646] ^ VAR5[639] ^ VAR5[638] ^ VAR5[637] ^ VAR5[636] ^ VAR5[633] ^ VAR5[632] ^ VAR5[631] ^ VAR5[630] ^ VAR5[628] ^ VAR5[624] ^ VAR5[622] ^ VAR5[618] ^ VAR5[615] ^ VAR5[614] ^ VAR5[613] ^ VAR5[609] ^ VAR5[604] ^ VAR5[603] ^ VAR5[601] ^ VAR5[596] ^ VAR5[593] ^ VAR5[592] ^ VAR5[589] ^ VAR5[585] ^ VAR5[581] ^ VAR5[580] ^ VAR5[575] ^ VAR5[570] ^ VAR5[568] ^ VAR5[564] ^ VAR5[558] ^ VAR5[556] ^ VAR5[554] ^ VAR5[553] ^ VAR5[551] ^ VAR5[549] ^ VAR5[541] ^ VAR5[540] ^ VAR5[539] ^ VAR5[538] ^ VAR5[536] ^ VAR5[534] ^ VAR5[531] ^ VAR5[528] ^ VAR5[527] ^ VAR5[525] ^ VAR5[522] ^ VAR5[519] ^ VAR5[518] ^ VAR5[517] ^ VAR5[516] ^ VAR5[514] ^ VAR5[510] ^ VAR5[507] ^ VAR5[504] ^ VAR5[503] ^ VAR5[502] ^ VAR5[501] ^ VAR5[500] ^ VAR5[499] ^ VAR5[498] ^ VAR5[497] ^ VAR5[496] ^ VAR5[493] ^ VAR5[491] ^ VAR5[490] ^ VAR5[489] ^ VAR5[488] ^ VAR5[486] ^ VAR5[485] ^ VAR5[484] ^ VAR5[482] ^ VAR5[481] ^ VAR5[478] ^ VAR5[477] ^ VAR5[475] ^ VAR5[473] ^ VAR5[472] ^ VAR5[470] ^ VAR5[467] ^ VAR5[465] ^ VAR5[463] ^ VAR5[459] ^ VAR5[457] ^ VAR5[456] ^ VAR5[455] ^ VAR5[453] ^ VAR5[452] ^ VAR5[451] ^ VAR5[450] ^ VAR5[449] ^ VAR5[448] ^ VAR5[447] ^ VAR5[446] ^ VAR5[443] ^ VAR5[442] ^ VAR5[441] ^ VAR5[437] ^ VAR5[436] ^ VAR5[433] ^ VAR5[431] ^ VAR5[429] ^ VAR5[428] ^ VAR5[427] ^ VAR5[423] ^ VAR5[422] ^ VAR5[420] ^ VAR5[419] ^ VAR5[418] ^ VAR5[417] ^ VAR5[416] ^ VAR5[415] ^ VAR5[414] ^ VAR5[411] ^ VAR5[409] ^ VAR5[408] ^ VAR5[406] ^ VAR5[405] ^ VAR5[404] ^ VAR5[403] ^ VAR5[399] ^ VAR5[397] ^ VAR5[396] ^ VAR5[395] ^ VAR5[392] ^ VAR5[389] ^ VAR5[388] ^ VAR5[387] ^ VAR5[385] ^ VAR5[383] ^ VAR5[382] ^ VAR5[381] ^ VAR5[380] ^ VAR5[379] ^ VAR5[378] ^ VAR5[376] ^ VAR5[374] ^ VAR5[373] ^ VAR5[372] ^ VAR5[371] ^ VAR5[370] ^ VAR5[369] ^ VAR5[367] ^ VAR5[366] ^ VAR5[364] ^ VAR5[363] ^ VAR5[362] ^ VAR5[358] ^ VAR5[357] ^ VAR5[356] ^ VAR5[351] ^ VAR5[348] ^ VAR5[347] ^ VAR5[346] ^ VAR5[345] ^ VAR5[344] ^ VAR5[343] ^ VAR5[338] ^ VAR5[336] ^ VAR5[334] ^ VAR5[331] ^ VAR5[330] ^ VAR5[328] ^ VAR5[327] ^ VAR5[325] ^ VAR5[324] ^ VAR5[323] ^ VAR5[322] ^ VAR5[318] ^ VAR5[317] ^ VAR5[316] ^ VAR5[314] ^ VAR5[312] ^ VAR5[311] ^ VAR5[310] ^ VAR5[308] ^ VAR5[306] ^ VAR5[304] ^ VAR5[303] ^ VAR5[298] ^ VAR5[295] ^ VAR5[293] ^ VAR5[291] ^ VAR5[287] ^ VAR5[283] ^ VAR5[281] ^ VAR5[278] ^ VAR5[277] ^ VAR5[276] ^ VAR5[273] ^ VAR5[272] ^ VAR5[271] ^ VAR5[269] ^ VAR5[268] ^ VAR5[263] ^ VAR5[262] ^ VAR5[259] ^ VAR5[258] ^ VAR5[257] ^ VAR5[255] ^ VAR5[254] ^ VAR5[251] ^ VAR5[249] ^ VAR5[246] ^ VAR5[245] ^ VAR5[244] ^ VAR5[240] ^ VAR5[239] ^ VAR5[238] ^ VAR5[237] ^ VAR5[233] ^ VAR5[230] ^ VAR5[229] ^ VAR5[228] ^ VAR5[227] ^ VAR5[226] ^ VAR5[221] ^ VAR5[218] ^ VAR5[215] ^ VAR5[212] ^ VAR5[211] ^ VAR5[209] ^ VAR5[207] ^ VAR5[205] ^ VAR5[204] ^ VAR5[203] ^ VAR5[199] ^ VAR5[198] ^ VAR5[197] ^ VAR5[196] ^ VAR5[194] ^ VAR5[193] ^ VAR5[191] ^ VAR5[185] ^ VAR5[184] ^ VAR5[183] ^ VAR5[177] ^ VAR5[175] ^ VAR5[173] ^ VAR5[171] ^ VAR5[170] ^ VAR5[169] ^ VAR5[167] ^ VAR5[166] ^ VAR5[164] ^ VAR5[163] ^ VAR5[162] ^ VAR5[161] ^ VAR5[159] ^ VAR5[157] ^ VAR5[156] ^ VAR5[154] ^ VAR5[153] ^ VAR5[151] ^ VAR5[144] ^ VAR5[143] ^ VAR5[142] ^ VAR5[140] ^ VAR5[132] ^ VAR5[131] ^ VAR5[130] ^ VAR5[125] ^ VAR5[124] ^ VAR5[118] ^ VAR5[116] ^ VAR5[115] ^ VAR5[114] ^ VAR5[112] ^ VAR5[111] ^ VAR5[110] ^ VAR5[108] ^ VAR5[107] ^ VAR5[105] ^ VAR5[104] ^ VAR5[102] ^ VAR5[100] ^ VAR5[99] ^ VAR5[97] ^ VAR5[95] ^ VAR5[93] ^ VAR5[92] ^ VAR5[91] ^ VAR5[89] ^ VAR5[88] ^ VAR5[85] ^ VAR5[83] ^ VAR5[78] ^ VAR5[75] ^ VAR5[73] ^ VAR5[72] ^ VAR5[71] ^ VAR5[70] ^ VAR5[69] ^ VAR5[68] ^ VAR5[65] ^ VAR5[64] ^ VAR5[62] ^ VAR5[61] ^ VAR5[59] ^ VAR5[58] ^ VAR5[57] ^ VAR5[56] ^ VAR5[55] ^ VAR5[53] ^ VAR5[52] ^ VAR5[51] ^ VAR5[46] ^ VAR5[44] ^ VAR5[42] ^ VAR5[41] ^ VAR5[39] ^ VAR5[35] ^ VAR5[32] ^ VAR5[30] ^ VAR5[29] ^ VAR5[28] ^ VAR5[26] ^ VAR5[24] ^ VAR5[23] ^ VAR5[21] ^ VAR5[20] ^ VAR5[17] ^ VAR5[16] ^ VAR5[15] ^ VAR5[13] ^ VAR5[12] ^ VAR5[9] ^ VAR5[8] ^ VAR5[4] ^ VAR3[0] ^ VAR3[2] ^ VAR3[3] ^ VAR3[8] ^ VAR3[10] ^ VAR3[15];
VAR1[10] = VAR5[1019] ^ VAR5[1017] ^ VAR5[1012] ^ VAR5[1011] ^ VAR5[1009] ^ VAR5[1008] ^ VAR5[1006] ^ VAR5[1005] ^ VAR5[1004] ^ VAR5[997] ^ VAR5[995] ^ VAR5[994] ^ VAR5[992] ^ VAR5[988] ^ VAR5[987] ^ VAR5[985] ^ VAR5[981] ^ VAR5[979] ^ VAR5[978] ^ VAR5[976] ^ VAR5[975] ^ VAR5[974] ^ VAR5[972] ^ VAR5[970] ^ VAR5[969] ^ VAR5[966] ^ VAR5[961] ^ VAR5[959] ^ VAR5[957] ^ VAR5[954] ^ VAR5[953] ^ VAR5[952] ^ VAR5[950] ^ VAR5[948] ^ VAR5[945] ^ VAR5[942] ^ VAR5[934] ^ VAR5[933] ^ VAR5[932] ^ VAR5[930] ^ VAR5[928] ^ VAR5[927] ^ VAR5[926] ^ VAR5[925] ^ VAR5[923] ^ VAR5[918] ^ VAR5[917] ^ VAR5[915] ^ VAR5[909] ^ VAR5[907] ^ VAR5[906] ^ VAR5[905] ^ VAR5[904] ^ VAR5[903] ^ VAR5[900] ^ VAR5[898] ^ VAR5[897] ^ VAR5[894] ^ VAR5[893] ^ VAR5[890] ^ VAR5[888] ^ VAR5[887] ^ VAR5[886] ^ VAR5[884] ^ VAR5[883] ^ VAR5[882] ^ VAR5[881] ^ VAR5[879] ^ VAR5[878] ^ VAR5[875] ^ VAR5[874] ^ VAR5[873] ^ VAR5[870] ^ VAR5[861] ^ VAR5[859] ^ VAR5[857] ^ VAR5[856] ^ VAR5[852] ^ VAR5[851] ^ VAR5[849] ^ VAR5[846] ^ VAR5[843] ^ VAR5[839] ^ VAR5[838] ^ VAR5[837] ^ VAR5[836] ^ VAR5[835] ^ VAR5[832] ^ VAR5[827] ^ VAR5[826] ^ VAR5[825] ^ VAR5[824] ^ VAR5[820] ^ VAR5[816] ^ VAR5[814] ^ VAR5[813] ^ VAR5[812] ^ VAR5[810] ^ VAR5[808] ^ VAR5[807] ^ VAR5[805] ^ VAR5[803] ^ VAR5[801] ^ VAR5[800] ^ VAR5[798] ^ VAR5[797] ^ VAR5[796] ^ VAR5[794] ^ VAR5[791] ^ VAR5[789] ^ VAR5[788] ^ VAR5[787] ^ VAR5[784] ^ VAR5[783] ^ VAR5[782] ^ VAR5[781] ^ VAR5[780] ^ VAR5[775] ^ VAR5[773] ^ VAR5[769] ^ VAR5[768] ^ VAR5[767] ^ VAR5[766] ^ VAR5[765] ^ VAR5[762] ^ VAR5[760] ^ VAR5[759] ^ VAR5[757] ^ VAR5[756] ^ VAR5[749] ^ VAR5[748] ^ VAR5[747] ^ VAR5[746] ^ VAR5[745] ^ VAR5[744] ^ VAR5[742] ^ VAR5[739] ^ VAR5[736] ^ VAR5[735] ^ VAR5[730] ^ VAR5[729] ^ VAR5[728] ^ VAR5[727] ^ VAR5[726] ^ VAR5[725] ^ VAR5[723] ^ VAR5[722] ^ VAR5[721] ^ VAR5[719] ^ VAR5[715] ^ VAR5[714] ^ VAR5[712] ^ VAR5[710] ^ VAR5[709] ^ VAR5[707] ^ VAR5[706] ^ VAR5[704] ^ VAR5[701] ^ VAR5[700] ^ VAR5[697] ^ VAR5[693] ^ VAR5[691] ^ VAR5[690] ^ VAR5[689] ^ VAR5[688] ^ VAR5[686] ^ VAR5[685] ^ VAR5[683] ^ VAR5[681] ^ VAR5[680] ^ VAR5[679] ^ VAR5[674] ^ VAR5[672] ^ VAR5[671] ^ VAR5[670] ^ VAR5[669] ^ VAR5[668] ^ VAR5[667] ^ VAR5[666] ^ VAR5[661] ^ VAR5[660] ^ VAR5[659] ^ VAR5[657] ^ VAR5[656] ^ VAR5[655] ^ VAR5[654] ^ VAR5[653] ^ VAR5[647] ^ VAR5[640] ^ VAR5[639] ^ VAR5[638] ^ VAR5[637] ^ VAR5[634] ^ VAR5[633] ^ VAR5[632] ^ VAR5[631] ^ VAR5[629] ^ VAR5[625] ^ VAR5[623] ^ VAR5[619] ^ VAR5[616] ^ VAR5[615] ^ VAR5[614] ^ VAR5[610] ^ VAR5[605] ^ VAR5[604] ^ VAR5[602] ^ VAR5[597] ^ VAR5[594] ^ VAR5[593] ^ VAR5[590] ^ VAR5[586] ^ VAR5[582] ^ VAR5[581] ^ VAR5[576] ^ VAR5[571] ^ VAR5[569] ^ VAR5[565] ^ VAR5[559] ^ VAR5[557] ^ VAR5[555] ^ VAR5[554] ^ VAR5[552] ^ VAR5[550] ^ VAR5[542] ^ VAR5[541] ^ VAR5[540] ^ VAR5[539] ^ VAR5[537] ^ VAR5[535] ^ VAR5[532] ^ VAR5[529] ^ VAR5[528] ^ VAR5[526] ^ VAR5[523] ^ VAR5[520] ^ VAR5[519] ^ VAR5[518] ^ VAR5[517] ^ VAR5[515] ^ VAR5[511] ^ VAR5[508] ^ VAR5[505] ^ VAR5[504] ^ VAR5[503] ^ VAR5[502] ^ VAR5[501] ^ VAR5[500] ^ VAR5[499] ^ VAR5[498] ^ VAR5[497] ^ VAR5[494] ^ VAR5[492] ^ VAR5[491] ^ VAR5[490] ^ VAR5[489] ^ VAR5[487] ^ VAR5[486] ^ VAR5[485] ^ VAR5[483] ^ VAR5[482] ^ VAR5[479] ^ VAR5[478] ^ VAR5[476] ^ VAR5[474] ^ VAR5[473] ^ VAR5[471] ^ VAR5[468] ^ VAR5[466] ^ VAR5[464] ^ VAR5[460] ^ VAR5[458] ^ VAR5[457] ^ VAR5[456] ^ VAR5[454] ^ VAR5[453] ^ VAR5[452] ^ VAR5[451] ^ VAR5[450] ^ VAR5[449] ^ VAR5[448] ^ VAR5[447] ^ VAR5[444] ^ VAR5[443] ^ VAR5[442] ^ VAR5[438] ^ VAR5[437] ^ VAR5[434] ^ VAR5[432] ^ VAR5[430] ^ VAR5[429] ^ VAR5[428] ^ VAR5[424] ^ VAR5[423] ^ VAR5[421] ^ VAR5[420] ^ VAR5[419] ^ VAR5[418] ^ VAR5[417] ^ VAR5[416] ^ VAR5[415] ^ VAR5[412] ^ VAR5[410] ^ VAR5[409] ^ VAR5[407] ^ VAR5[406] ^ VAR5[405] ^ VAR5[404] ^ VAR5[400] ^ VAR5[398] ^ VAR5[397] ^ VAR5[396] ^ VAR5[393] ^ VAR5[390] ^ VAR5[389] ^ VAR5[388] ^ VAR5[386] ^ VAR5[384] ^ VAR5[383] ^ VAR5[382] ^ VAR5[381] ^ VAR5[380] ^ VAR5[379] ^ VAR5[377] ^ VAR5[375] ^ VAR5[374] ^ VAR5[373] ^ VAR5[372] ^ VAR5[371] ^ VAR5[370] ^ VAR5[368] ^ VAR5[367] ^ VAR5[365] ^ VAR5[364] ^ VAR5[363] ^ VAR5[359] ^ VAR5[358] ^ VAR5[357] ^ VAR5[352] ^ VAR5[349] ^ VAR5[348] ^ VAR5[347] ^ VAR5[346] ^ VAR5[345] ^ VAR5[344] ^ VAR5[339] ^ VAR5[337] ^ VAR5[335] ^ VAR5[332] ^ VAR5[331] ^ VAR5[329] ^ VAR5[328] ^ VAR5[326] ^ VAR5[325] ^ VAR5[324] ^ VAR5[323] ^ VAR5[319] ^ VAR5[318] ^ VAR5[317] ^ VAR5[315] ^ VAR5[313] ^ VAR5[312] ^ VAR5[311] ^ VAR5[309] ^ VAR5[307] ^ VAR5[305] ^ VAR5[304] ^ VAR5[299] ^ VAR5[296] ^ VAR5[294] ^ VAR5[292] ^ VAR5[288] ^ VAR5[284] ^ VAR5[282] ^ VAR5[279] ^ VAR5[278] ^ VAR5[277] ^ VAR5[274] ^ VAR5[273] ^ VAR5[272] ^ VAR5[270] ^ VAR5[269] ^ VAR5[264] ^ VAR5[263] ^ VAR5[260] ^ VAR5[259] ^ VAR5[258] ^ VAR5[256] ^ VAR5[255] ^ VAR5[252] ^ VAR5[250] ^ VAR5[247] ^ VAR5[246] ^ VAR5[245] ^ VAR5[241] ^ VAR5[240] ^ VAR5[239] ^ VAR5[238] ^ VAR5[234] ^ VAR5[231] ^ VAR5[230] ^ VAR5[229] ^ VAR5[228] ^ VAR5[227] ^ VAR5[222] ^ VAR5[219] ^ VAR5[216] ^ VAR5[213] ^ VAR5[212] ^ VAR5[210] ^ VAR5[208] ^ VAR5[206] ^ VAR5[205] ^ VAR5[204] ^ VAR5[200] ^ VAR5[199] ^ VAR5[198] ^ VAR5[197] ^ VAR5[195] ^ VAR5[194] ^ VAR5[192] ^ VAR5[186] ^ VAR5[185] ^ VAR5[184] ^ VAR5[178] ^ VAR5[176] ^ VAR5[174] ^ VAR5[172] ^ VAR5[171] ^ VAR5[170] ^ VAR5[168] ^ VAR5[167] ^ VAR5[165] ^ VAR5[164] ^ VAR5[163] ^ VAR5[162] ^ VAR5[160] ^ VAR5[158] ^ VAR5[157] ^ VAR5[155] ^ VAR5[154] ^ VAR5[152] ^ VAR5[145] ^ VAR5[144] ^ VAR5[143] ^ VAR5[141] ^ VAR5[133] ^ VAR5[132] ^ VAR5[131] ^ VAR5[126] ^ VAR5[125] ^ VAR5[119] ^ VAR5[117] ^ VAR5[116] ^ VAR5[115] ^ VAR5[113] ^ VAR5[112] ^ VAR5[111] ^ VAR5[109] ^ VAR5[108] ^ VAR5[106] ^ VAR5[105] ^ VAR5[103] ^ VAR5[101] ^ VAR5[100] ^ VAR5[98] ^ VAR5[96] ^ VAR5[94] ^ VAR5[93] ^ VAR5[92] ^ VAR5[90] ^ VAR5[89] ^ VAR5[86] ^ VAR5[84] ^ VAR5[79] ^ VAR5[76] ^ VAR5[74] ^ VAR5[73] ^ VAR5[72] ^ VAR5[71] ^ VAR5[70] ^ VAR5[69] ^ VAR5[66] ^ VAR5[65] ^ VAR5[63] ^ VAR5[62] ^ VAR5[60] ^ VAR5[59] ^ VAR5[58] ^ VAR5[57] ^ VAR5[56] ^ VAR5[54] ^ VAR5[53] ^ VAR5[52] ^ VAR5[47] ^ VAR5[45] ^ VAR5[43] ^ VAR5[42] ^ VAR5[40] ^ VAR5[36] ^ VAR5[33] ^ VAR5[31] ^ VAR5[30] ^ VAR5[29] ^ VAR5[27] ^ VAR5[25] ^ VAR5[24] ^ VAR5[22] ^ VAR5[21] ^ VAR5[18] ^ VAR5[17] ^ VAR5[16] ^ VAR5[14] ^ VAR5[13] ^ VAR5[10] ^ VAR5[9] ^ VAR5[5] ^ VAR3[0] ^ VAR3[1] ^ VAR3[3] ^ VAR3[4] ^ VAR3[9] ^ VAR3[11];
VAR1[11] = VAR5[1020] ^ VAR5[1018] ^ VAR5[1013] ^ VAR5[1012] ^ VAR5[1010] ^ VAR5[1009] ^ VAR5[1007] ^ VAR5[1006] ^ VAR5[1005] ^ VAR5[998] ^ VAR5[996] ^ VAR5[995] ^ VAR5[993] ^ VAR5[989] ^ VAR5[988] ^ VAR5[986] ^ VAR5[982] ^ VAR5[980] ^ VAR5[979] ^ VAR5[977] ^ VAR5[976] ^ VAR5[975] ^ VAR5[973] ^ VAR5[971] ^ VAR5[970] ^ VAR5[967] ^ VAR5[962] ^ VAR5[960] ^ VAR5[958] ^ VAR5[955] ^ VAR5[954] ^ VAR5[953] ^ VAR5[951] ^ VAR5[949] ^ VAR5[946] ^ VAR5[943] ^ VAR5[935] ^ VAR5[934] ^ VAR5[933] ^ VAR5[931] ^ VAR5[929] ^ VAR5[928] ^ VAR5[927] ^ VAR5[926] ^ VAR5[924] ^ VAR5[919] ^ VAR5[918] ^ VAR5[916] ^ VAR5[910] ^ VAR5[908] ^ VAR5[907] ^ VAR5[906] ^ VAR5[905] ^ VAR5[904] ^ VAR5[901] ^ VAR5[899] ^ VAR5[898] ^ VAR5[895] ^ VAR5[894] ^ VAR5[891] ^ VAR5[889] ^ VAR5[888] ^ VAR5[887] ^ VAR5[885] ^ VAR5[884] ^ VAR5[883] ^ VAR5[882] ^ VAR5[880] ^ VAR5[879] ^ VAR5[876] ^ VAR5[875] ^ VAR5[874] ^ VAR5[871] ^ VAR5[862] ^ VAR5[860] ^ VAR5[858] ^ VAR5[857] ^ VAR5[853] ^ VAR5[852] ^ VAR5[850] ^ VAR5[847] ^ VAR5[844] ^ VAR5[840] ^ VAR5[839] ^ VAR5[838] ^ VAR5[837] ^ VAR5[836] ^ VAR5[833] ^ VAR5[828] ^ VAR5[827] ^ VAR5[826] ^ VAR5[825] ^ VAR5[821] ^ VAR5[817] ^ VAR5[815] ^ VAR5[814] ^ VAR5[813] ^ VAR5[811] ^ VAR5[809] ^ VAR5[808] ^ VAR5[806] ^ VAR5[804] ^ VAR5[802] ^ VAR5[801] ^ VAR5[799] ^ VAR5[798] ^ VAR5[797] ^ VAR5[795] ^ VAR5[792] ^ VAR5[790] ^ VAR5[789] ^ VAR5[788] ^ VAR5[785] ^ VAR5[784] ^ VAR5[783] ^ VAR5[782] ^ VAR5[781] ^ VAR5[776] ^ VAR5[774] ^ VAR5[770] ^ VAR5[769] ^ VAR5[768] ^ VAR5[767] ^ VAR5[766] ^ VAR5[763] ^ VAR5[761] ^ VAR5[760] ^ VAR5[758] ^ VAR5[757] ^ VAR5[750] ^ VAR5[749] ^ VAR5[748] ^ VAR5[747] ^ VAR5[746] ^ VAR5[745] ^ VAR5[743] ^ VAR5[740] ^ VAR5[737] ^ VAR5[736] ^ VAR5[731] ^ VAR5[730] ^ VAR5[729] ^ VAR5[728] ^ VAR5[727] ^ VAR5[726] ^ VAR5[724] ^ VAR5[723] ^ VAR5[722] ^ VAR5[720] ^ VAR5[716] ^ VAR5[715] ^ VAR5[713] ^ VAR5[711] ^ VAR5[710] ^ VAR5[708] ^ VAR5[707] ^ VAR5[705] ^ VAR5[702] ^ VAR5[701] ^ VAR5[698] ^ VAR5[694] ^ VAR5[692] ^ VAR5[691] ^ VAR5[690] ^ VAR5[689] ^ VAR5[687] ^ VAR5[686] ^ VAR5[684] ^ VAR5[682] ^ VAR5[681] ^ VAR5[680] ^ VAR5[675] ^ VAR5[673] ^ VAR5[672] ^ VAR5[671] ^ VAR5[670] ^ VAR5[669] ^ VAR5[668] ^ VAR5[667] ^ VAR5[662] ^ VAR5[661] ^ VAR5[660] ^ VAR5[658] ^ VAR5[657] ^ VAR5[656] ^ VAR5[655] ^ VAR5[654] ^ VAR5[648] ^ VAR5[641] ^ VAR5[640] ^ VAR5[639] ^ VAR5[638] ^ VAR5[635] ^ VAR5[634] ^ VAR5[633] ^ VAR5[632] ^ VAR5[630] ^ VAR5[626] ^ VAR5[624] ^ VAR5[620] ^ VAR5[617] ^ VAR5[616] ^ VAR5[615] ^ VAR5[611] ^ VAR5[606] ^ VAR5[605] ^ VAR5[603] ^ VAR5[598] ^ VAR5[595] ^ VAR5[594] ^ VAR5[591] ^ VAR5[587] ^ VAR5[583] ^ VAR5[582] ^ VAR5[577] ^ VAR5[572] ^ VAR5[570] ^ VAR5[566] ^ VAR5[560] ^ VAR5[558] ^ VAR5[556] ^ VAR5[555] ^ VAR5[553] ^ VAR5[551] ^ VAR5[543] ^ VAR5[542] ^ VAR5[541] ^ VAR5[540] ^ VAR5[538] ^ VAR5[536] ^ VAR5[533] ^ VAR5[530] ^ VAR5[529] ^ VAR5[527] ^ VAR5[524] ^ VAR5[521] ^ VAR5[520] ^ VAR5[519] ^ VAR5[518] ^ VAR5[516] ^ VAR5[512] ^ VAR5[509] ^ VAR5[506] ^ VAR5[505] ^ VAR5[504] ^ VAR5[503] ^ VAR5[502] ^ VAR5[501] ^ VAR5[500] ^ VAR5[499] ^ VAR5[498] ^ VAR5[495] ^ VAR5[493] ^ VAR5[492] ^ VAR5[491] ^ VAR5[490] ^ VAR5[488] ^ VAR5[487] ^ VAR5[486] ^ VAR5[484] ^ VAR5[483] ^ VAR5[480] ^ VAR5[479] ^ VAR5[477] ^ VAR5[475] ^ VAR5[474] ^ VAR5[472] ^ VAR5[469] ^ VAR5[467] ^ VAR5[465] ^ VAR5[461] ^ VAR5[459] ^ VAR5[458] ^ VAR5[457] ^ VAR5[455] ^ VAR5[454] ^ VAR5[453] ^ VAR5[452] ^ VAR5[451] ^ VAR5[450] ^ VAR5[449] ^ VAR5[448] ^ VAR5[445] ^ VAR5[444] ^ VAR5[443] ^ VAR5[439] ^ VAR5[438] ^ VAR5[435] ^ VAR5[433] ^ VAR5[431] ^ VAR5[430] ^ VAR5[429] ^ VAR5[425] ^ VAR5[424] ^ VAR5[422] ^ VAR5[421] ^ VAR5[420] ^ VAR5[419] ^ VAR5[418] ^ VAR5[417] ^ VAR5[416] ^ VAR5[413] ^ VAR5[411] ^ VAR5[410] ^ VAR5[408] ^ VAR5[407] ^ VAR5[406] ^ VAR5[405] ^ VAR5[401] ^ VAR5[399] ^ VAR5[398] ^ VAR5[397] ^ VAR5[394] ^ VAR5[391] ^ VAR5[390] ^ VAR5[389] ^ VAR5[387] ^ VAR5[385] ^ VAR5[384] ^ VAR5[383] ^ VAR5[382] ^ VAR5[381] ^ VAR5[380] ^ VAR5[378] ^ VAR5[376] ^ VAR5[375] ^ VAR5[374] ^ VAR5[373] ^ VAR5[372] ^ VAR5[371] ^ VAR5[369] ^ VAR5[368] ^ VAR5[366] ^ VAR5[365] ^ VAR5[364] ^ VAR5[360] ^ VAR5[359] ^ VAR5[358] ^ VAR5[353] ^ VAR5[350] ^ VAR5[349] ^ VAR5[348] ^ VAR5[347] ^ VAR5[346] ^ VAR5[345] ^ VAR5[340] ^ VAR5[338] ^ VAR5[336] ^ VAR5[333] ^ VAR5[332] ^ VAR5[330] ^ VAR5[329] ^ VAR5[327] ^ VAR5[326] ^ VAR5[325] ^ VAR5[324] ^ VAR5[320] ^ VAR5[319] ^ VAR5[318] ^ VAR5[316] ^ VAR5[314] ^ VAR5[313] ^ VAR5[312] ^ VAR5[310] ^ VAR5[308] ^ VAR5[306] ^ VAR5[305] ^ VAR5[300] ^ VAR5[297] ^ VAR5[295] ^ VAR5[293] ^ VAR5[289] ^ VAR5[285] ^ VAR5[283] ^ VAR5[280] ^ VAR5[279] ^ VAR5[278] ^ VAR5[275] ^ VAR5[274] ^ VAR5[273] ^ VAR5[271] ^ VAR5[270] ^ VAR5[265] ^ VAR5[264] ^ VAR5[261] ^ VAR5[260] ^ VAR5[259] ^ VAR5[257] ^ VAR5[256] ^ VAR5[253] ^ VAR5[251] ^ VAR5[248] ^ VAR5[247] ^ VAR5[246] ^ VAR5[242] ^ VAR5[241] ^ VAR5[240] ^ VAR5[239] ^ VAR5[235] ^ VAR5[232] ^ VAR5[231] ^ VAR5[230] ^ VAR5[229] ^ VAR5[228] ^ VAR5[223] ^ VAR5[220] ^ VAR5[217] ^ VAR5[214] ^ VAR5[213] ^ VAR5[211] ^ VAR5[209] ^ VAR5[207] ^ VAR5[206] ^ VAR5[205] ^ VAR5[201] ^ VAR5[200] ^ VAR5[199] ^ VAR5[198] ^ VAR5[196] ^ VAR5[195] ^ VAR5[193] ^ VAR5[187] ^ VAR5[186] ^ VAR5[185] ^ VAR5[179] ^ VAR5[177] ^ VAR5[175] ^ VAR5[173] ^ VAR5[172] ^ VAR5[171] ^ VAR5[169] ^ VAR5[168] ^ VAR5[166] ^ VAR5[165] ^ VAR5[164] ^ VAR5[163] ^ VAR5[161] ^ VAR5[159] ^ VAR5[158] ^ VAR5[156] ^ VAR5[155] ^ VAR5[153] ^ VAR5[146] ^ VAR5[145] ^ VAR5[144] ^ VAR5[142] ^ VAR5[134] ^ VAR5[133] ^ VAR5[132] ^ VAR5[127] ^ VAR5[126] ^ VAR5[120] ^ VAR5[118] ^ VAR5[117] ^ VAR5[116] ^ VAR5[114] ^ VAR5[113] ^ VAR5[112] ^ VAR5[110] ^ VAR5[109] ^ VAR5[107] ^ VAR5[106] ^ VAR5[104] ^ VAR5[102] ^ VAR5[101] ^ VAR5[99] ^ VAR5[97] ^ VAR5[95] ^ VAR5[94] ^ VAR5[93] ^ VAR5[91] ^ VAR5[90] ^ VAR5[87] ^ VAR5[85] ^ VAR5[80] ^ VAR5[77] ^ VAR5[75] ^ VAR5[74] ^ VAR5[73] ^ VAR5[72] ^ VAR5[71] ^ VAR5[70] ^ VAR5[67] ^ VAR5[66] ^ VAR5[64] ^ VAR5[63] ^ VAR5[61] ^ VAR5[60] ^ VAR5[59] ^ VAR5[58] ^ VAR5[57] ^ VAR5[55] ^ VAR5[54] ^ VAR5[53] ^ VAR5[48] ^ VAR5[46] ^ VAR5[44] ^ VAR5[43] ^ VAR5[41] ^ VAR5[37] ^ VAR5[34] ^ VAR5[32] ^ VAR5[31] ^ VAR5[30] ^ VAR5[28] ^ VAR5[26] ^ VAR5[25] ^ VAR5[23] ^ VAR5[22] ^ VAR5[19] ^ VAR5[18] ^ VAR5[17] ^ VAR5[15] ^ VAR5[14] ^ VAR5[11] ^ VAR5[10] ^ VAR5[6] ^ VAR3[1] ^ VAR3[2] ^ VAR3[4] ^ VAR3[5] ^ VAR3[10] ^ VAR3[12];
VAR1[12] = VAR5[1023] ^ VAR5[1020] ^ VAR5[1019] ^ VAR5[1017] ^ VAR5[1016] ^ VAR5[1013] ^ VAR5[1012] ^ VAR5[1010] ^ VAR5[1008] ^ VAR5[1007] ^ VAR5[1002] ^ VAR5[998] ^ VAR5[995] ^ VAR5[994] ^ VAR5[993] ^ VAR5[992] ^ VAR5[991] ^ VAR5[989] ^ VAR5[988] ^ VAR5[987] ^ VAR5[986] ^ VAR5[984] ^ VAR5[982] ^ VAR5[980] ^ VAR5[979] ^ VAR5[978] ^ VAR5[977] ^ VAR5[975] ^ VAR5[972] ^ VAR5[971] ^ VAR5[970] ^ VAR5[966] ^ VAR5[964] ^ VAR5[962] ^ VAR5[960] ^ VAR5[959] ^ VAR5[958] ^ VAR5[957] ^ VAR5[956] ^ VAR5[954] ^ VAR5[953] ^ VAR5[951] ^ VAR5[949] ^ VAR5[948] ^ VAR5[947] ^ VAR5[946] ^ VAR5[945] ^ VAR5[944] ^ VAR5[942] ^ VAR5[941] ^ VAR5[938] ^ VAR5[937] ^ VAR5[934] ^ VAR5[933] ^ VAR5[932] ^ VAR5[931] ^ VAR5[929] ^ VAR5[927] ^ VAR5[926] ^ VAR5[924] ^ VAR5[922] ^ VAR5[921] ^ VAR5[920] ^ VAR5[918] ^ VAR5[917] ^ VAR5[915] ^ VAR5[914] ^ VAR5[911] ^ VAR5[910] ^ VAR5[906] ^ VAR5[905] ^ VAR5[904] ^ VAR5[903] ^ VAR5[900] ^ VAR5[899] ^ VAR5[898] ^ VAR5[894] ^ VAR5[892] ^ VAR5[891] ^ VAR5[890] ^ VAR5[887] ^ VAR5[885] ^ VAR5[884] ^ VAR5[883] ^ VAR5[882] ^ VAR5[878] ^ VAR5[877] ^ VAR5[876] ^ VAR5[874] ^ VAR5[871] ^ VAR5[870] ^ VAR5[868] ^ VAR5[867] ^ VAR5[866] ^ VAR5[864] ^ VAR5[863] ^ VAR5[862] ^ VAR5[860] ^ VAR5[858] ^ VAR5[857] ^ VAR5[856] ^ VAR5[855] ^ VAR5[853] ^ VAR5[852] ^ VAR5[851] ^ VAR5[850] ^ VAR5[848] ^ VAR5[846] ^ VAR5[842] ^ VAR5[841] ^ VAR5[838] ^ VAR5[836] ^ VAR5[835] ^ VAR5[833] ^ VAR5[832] ^ VAR5[831] ^ VAR5[830] ^ VAR5[829] ^ VAR5[828] ^ VAR5[827] ^ VAR5[826] ^ VAR5[818] ^ VAR5[812] ^ VAR5[811] ^ VAR5[810] ^ VAR5[807] ^ VAR5[805] ^ VAR5[799] ^ VAR5[798] ^ VAR5[796] ^ VAR5[789] ^ VAR5[787] ^ VAR5[786] ^ VAR5[783] ^ VAR5[781] ^ VAR5[780] ^ VAR5[778] ^ VAR5[777] ^ VAR5[776] ^ VAR5[774] ^ VAR5[772] ^ VAR5[771] ^ VAR5[770] ^ VAR5[768] ^ VAR5[765] ^ VAR5[763] ^ VAR5[761] ^ VAR5[760] ^ VAR5[759] ^ VAR5[758] ^ VAR5[756] ^ VAR5[752] ^ VAR5[748] ^ VAR5[747] ^ VAR5[746] ^ VAR5[745] ^ VAR5[741] ^ VAR5[740] ^ VAR5[736] ^ VAR5[734] ^ VAR5[733] ^ VAR5[732] ^ VAR5[730] ^ VAR5[729] ^ VAR5[727] ^ VAR5[724] ^ VAR5[721] ^ VAR5[719] ^ VAR5[715] ^ VAR5[713] ^ VAR5[712] ^ VAR5[711] ^ VAR5[710] ^ VAR5[709] ^ VAR5[706] ^ VAR5[704] ^ VAR5[700] ^ VAR5[699] ^ VAR5[698] ^ VAR5[696] ^ VAR5[694] ^ VAR5[692] ^ VAR5[691] ^ VAR5[690] ^ VAR5[689] ^ VAR5[685] ^ VAR5[684] ^ VAR5[683] ^ VAR5[680] ^ VAR5[678] ^ VAR5[677] ^ VAR5[676] ^ VAR5[674] ^ VAR5[673] ^ VAR5[668] ^ VAR5[667] ^ VAR5[666] ^ VAR5[665] ^ VAR5[663] ^ VAR5[662] ^ VAR5[661] ^ VAR5[655] ^ VAR5[654] ^ VAR5[653] ^ VAR5[652] ^ VAR5[650] ^ VAR5[649] ^ VAR5[648] ^ VAR5[646] ^ VAR5[644] ^ VAR5[642] ^ VAR5[640] ^ VAR5[637] ^ VAR5[635] ^ VAR5[633] ^ VAR5[632] ^ VAR5[630] ^ VAR5[628] ^ VAR5[627] ^ VAR5[626] ^ VAR5[624] ^ VAR5[622] ^ VAR5[621] ^ VAR5[620] ^ VAR5[618] ^ VAR5[616] ^ VAR5[613] ^ VAR5[609] ^ VAR5[608] ^ VAR5[605] ^ VAR5[604] ^ VAR5[603] ^ VAR5[602] ^ VAR5[601] ^ VAR5[599] ^ VAR5[598] ^ VAR5[597] ^ VAR5[594] ^ VAR5[593] ^ VAR5[592] ^ VAR5[591] ^ VAR5[590] ^ VAR5[589] ^ VAR5[587] ^ VAR5[586] ^ VAR5[585] ^ VAR5[584] ^ VAR5[583] ^ VAR5[582] ^ VAR5[581] ^ VAR5[578] ^ VAR5[577] ^ VAR5[573] ^ VAR5[567] ^ VAR5[557] ^ VAR5[555] ^ VAR5[552] ^ VAR5[551] ^ VAR5[550] ^ VAR5[547] ^ VAR5[546] ^ VAR5[543] ^ VAR5[542] ^ VAR5[540] ^ VAR5[537] ^ VAR5[536] ^ VAR5[535] ^ VAR5[532] ^ VAR5[531] ^ VAR5[530] ^ VAR5[528] ^ VAR5[521] ^ VAR5[518] ^ VAR5[516] ^ VAR5[515] ^ VAR5[514] ^ VAR5[513] ^ VAR5[512] ^ VAR5[511] ^ VAR5[510] ^ VAR5[508] ^ VAR5[507] ^ VAR5[504] ^ VAR5[502] ^ VAR5[501] ^ VAR5[499] ^ VAR5[496] ^ VAR5[490] ^ VAR5[489] ^ VAR5[488] ^ VAR5[487] ^ VAR5[486] ^ VAR5[482] ^ VAR5[481] ^ VAR5[480] ^ VAR5[478] ^ VAR5[472] ^ VAR5[471] ^ VAR5[469] ^ VAR5[468] ^ VAR5[467] ^ VAR5[466] ^ VAR5[465] ^ VAR5[463] ^ VAR5[461] ^ VAR5[459] ^ VAR5[458] ^ VAR5[457] ^ VAR5[456] ^ VAR5[453] ^ VAR5[451] ^ VAR5[450] ^ VAR5[448] ^ VAR5[445] ^ VAR5[444] ^ VAR5[442] ^ VAR5[438] ^ VAR5[436] ^ VAR5[435] ^ VAR5[434] ^ VAR5[431] ^ VAR5[428] ^ VAR5[426] ^ VAR5[424] ^ VAR5[421] ^ VAR5[420] ^ VAR5[419] ^ VAR5[418] ^ VAR5[413] ^ VAR5[410] ^ VAR5[409] ^ VAR5[408] ^ VAR5[407] ^ VAR5[406] ^ VAR5[398] ^ VAR5[396] ^ VAR5[395] ^ VAR5[392] ^ VAR5[387] ^ VAR5[386] ^ VAR5[384] ^ VAR5[383] ^ VAR5[381] ^ VAR5[378] ^ VAR5[375] ^ VAR5[374] ^ VAR5[373] ^ VAR5[372] ^ VAR5[366] ^ VAR5[365] ^ VAR5[363] ^ VAR5[359] ^ VAR5[357] ^ VAR5[356] ^ VAR5[353] ^ VAR5[352] ^ VAR5[350] ^ VAR5[349] ^ VAR5[348] ^ VAR5[347] ^ VAR5[342] ^ VAR5[338] ^ VAR5[337] ^ VAR5[335] ^ VAR5[334] ^ VAR5[331] ^ VAR5[329] ^ VAR5[326] ^ VAR5[325] ^ VAR5[324] ^ VAR5[323] ^ VAR5[320] ^ VAR5[319] ^ VAR5[317] ^ VAR5[311] ^ VAR5[310] ^ VAR5[309] ^ VAR5[306] ^ VAR5[303] ^ VAR5[299] ^ VAR5[297] ^ VAR5[295] ^ VAR5[294] ^ VAR5[293] ^ VAR5[292] ^ VAR5[291] ^ VAR5[289] ^ VAR5[288] ^ VAR5[287] ^ VAR5[286] ^ VAR5[285] ^ VAR5[284] ^ VAR5[283] ^ VAR5[281] ^ VAR5[279] ^ VAR5[276] ^ VAR5[271] ^ VAR5[270] ^ VAR5[268] ^ VAR5[266] ^ VAR5[264] ^ VAR5[261] ^ VAR5[260] ^ VAR5[258] ^ VAR5[253] ^ VAR5[250] ^ VAR5[249] ^ VAR5[248] ^ VAR5[246] ^ VAR5[243] ^ VAR5[242] ^ VAR5[237] ^ VAR5[236] ^ VAR5[233] ^ VAR5[228] ^ VAR5[227] ^ VAR5[226] ^ VAR5[225] ^ VAR5[224] ^ VAR5[223] ^ VAR5[222] ^ VAR5[221] ^ VAR5[219] ^ VAR5[218] ^ VAR5[215] ^ VAR5[210] ^ VAR5[208] ^ VAR5[203] ^ VAR5[202] ^ VAR5[199] ^ VAR5[197] ^ VAR5[196] ^ VAR5[190] ^ VAR5[186] ^ VAR5[184] ^ VAR5[183] ^ VAR5[180] ^ VAR5[179] ^ VAR5[175] ^ VAR5[174] ^ VAR5[172] ^ VAR5[171] ^ VAR5[169] ^ VAR5[167] ^ VAR5[166] ^ VAR5[161] ^ VAR5[160] ^ VAR5[158] ^ VAR5[157] ^ VAR5[155] ^ VAR5[154] ^ VAR5[152] ^ VAR5[151] ^ VAR5[148] ^ VAR5[147] ^ VAR5[144] ^ VAR5[142] ^ VAR5[141] ^ VAR5[140] ^ VAR5[139] ^ VAR5[138] ^ VAR5[137] ^ VAR5[136] ^ VAR5[135] ^ VAR5[134] ^ VAR5[133] ^ VAR5[132] ^ VAR5[128] ^ VAR5[123] ^ VAR5[119] ^ VAR5[117] ^ VAR5[114] ^ VAR5[111] ^ VAR5[109] ^ VAR5[106] ^ VAR5[105] ^ VAR5[104] ^ VAR5[103] ^ VAR5[102] ^ VAR5[100] ^ VAR5[94] ^ VAR5[92] ^ VAR5[91] ^ VAR5[84] ^ VAR5[82] ^ VAR5[80] ^ VAR5[78] ^ VAR5[77] ^ VAR5[76] ^ VAR5[73] ^ VAR5[71] ^ VAR5[70] ^ VAR5[68] ^ VAR5[66] ^ VAR5[63] ^ VAR5[62] ^ VAR5[61] ^ VAR5[60] ^ VAR5[59] ^ VAR5[54] ^ VAR5[52] ^ VAR5[51] ^ VAR5[48] ^ VAR5[47] ^ VAR5[45] ^ VAR5[44] ^ VAR5[38] ^ VAR5[31] ^ VAR5[29] ^ VAR5[28] ^ VAR5[24] ^ VAR5[23] ^ VAR5[22] ^ VAR5[18] ^ VAR5[16] ^ VAR5[15] ^ VAR5[8] ^ VAR5[7] ^ VAR5[4] ^ VAR5[0] ^ VAR3[0] ^ VAR3[2] ^ VAR3[4] ^ VAR3[5] ^ VAR3[8] ^ VAR3[9] ^ VAR3[11] ^ VAR3[12] ^ VAR3[15];
VAR1[13] = VAR5[1021] ^ VAR5[1020] ^ VAR5[1018] ^ VAR5[1017] ^ VAR5[1014] ^ VAR5[1013] ^ VAR5[1011] ^ VAR5[1009] ^ VAR5[1008] ^ VAR5[1003] ^ VAR5[999] ^ VAR5[996] ^ VAR5[995] ^ VAR5[994] ^ VAR5[993] ^ VAR5[992] ^ VAR5[990] ^ VAR5[989] ^ VAR5[988] ^ VAR5[987] ^ VAR5[985] ^ VAR5[983] ^ VAR5[981] ^ VAR5[980] ^ VAR5[979] ^ VAR5[978] ^ VAR5[976] ^ VAR5[973] ^ VAR5[972] ^ VAR5[971] ^ VAR5[967] ^ VAR5[965] ^ VAR5[963] ^ VAR5[961] ^ VAR5[960] ^ VAR5[959] ^ VAR5[958] ^ VAR5[957] ^ VAR5[955] ^ VAR5[954] ^ VAR5[952] ^ VAR5[950] ^ VAR5[949] ^ VAR5[948] ^ VAR5[947] ^ VAR5[946] ^ VAR5[945] ^ VAR5[943] ^ VAR5[942] ^ VAR5[939] ^ VAR5[938] ^ VAR5[935] ^ VAR5[934] ^ VAR5[933] ^ VAR5[932] ^ VAR5[930] ^ VAR5[928] ^ VAR5[927] ^ VAR5[925] ^ VAR5[923] ^ VAR5[922] ^ VAR5[921] ^ VAR5[919] ^ VAR5[918] ^ VAR5[916] ^ VAR5[915] ^ VAR5[912] ^ VAR5[911] ^ VAR5[907] ^ VAR5[906] ^ VAR5[905] ^ VAR5[904] ^ VAR5[901] ^ VAR5[900] ^ VAR5[899] ^ VAR5[895] ^ VAR5[893] ^ VAR5[892] ^ VAR5[891] ^ VAR5[888] ^ VAR5[886] ^ VAR5[885] ^ VAR5[884] ^ VAR5[883] ^ VAR5[879] ^ VAR5[878] ^ VAR5[877] ^ VAR5[875] ^ VAR5[872] ^ VAR5[871] ^ VAR5[869] ^ VAR5[868] ^ VAR5[867] ^ VAR5[865] ^ VAR5[864] ^ VAR5[863] ^ VAR5[861] ^ VAR5[859] ^ VAR5[858] ^ VAR5[857] ^ VAR5[856] ^ VAR5[854] ^ VAR5[853] ^ VAR5[852] ^ VAR5[851] ^ VAR5[849] ^ VAR5[847] ^ VAR5[843] ^ VAR5[842] ^ VAR5[839] ^ VAR5[837] ^ VAR5[836] ^ VAR5[834] ^ VAR5[833] ^ VAR5[832] ^ VAR5[831] ^ VAR5[830] ^ VAR5[829] ^ VAR5[828] ^ VAR5[827] ^ VAR5[819] ^ VAR5[813] ^ VAR5[812] ^ VAR5[811] ^ VAR5[808] ^ VAR5[806] ^ VAR5[800] ^ VAR5[799] ^ VAR5[797] ^ VAR5[790] ^ VAR5[788] ^ VAR5[787] ^ VAR5[784] ^ VAR5[782] ^ VAR5[781] ^ VAR5[779] ^ VAR5[778] ^ VAR5[777] ^ VAR5[775] ^ VAR5[773] ^ VAR5[772] ^ VAR5[771] ^ VAR5[769] ^ VAR5[766] ^ VAR5[764] ^ VAR5[762] ^ VAR5[761] ^ VAR5[760] ^ VAR5[759] ^ VAR5[757] ^ VAR5[753] ^ VAR5[749] ^ VAR5[748] ^ VAR5[747] ^ VAR5[746] ^ VAR5[742] ^ VAR5[741] ^ VAR5[737] ^ VAR5[735] ^ VAR5[734] ^ VAR5[733] ^ VAR5[731] ^ VAR5[730] ^ VAR5[728] ^ VAR5[725] ^ VAR5[722] ^ VAR5[720] ^ VAR5[716] ^ VAR5[714] ^ VAR5[713] ^ VAR5[712] ^ VAR5[711] ^ VAR5[710] ^ VAR5[707] ^ VAR5[705] ^ VAR5[701] ^ VAR5[700] ^ VAR5[699] ^ VAR5[697] ^ VAR5[695] ^ VAR5[693] ^ VAR5[692] ^ VAR5[691] ^ VAR5[690] ^ VAR5[686] ^ VAR5[685] ^ VAR5[684] ^ VAR5[681] ^ VAR5[679] ^ VAR5[678] ^ VAR5[677] ^ VAR5[675] ^ VAR5[674] ^ VAR5[669] ^ VAR5[668] ^ VAR5[667] ^ VAR5[666] ^ VAR5[664] ^ VAR5[663] ^ VAR5[662] ^ VAR5[656] ^ VAR5[655] ^ VAR5[654] ^ VAR5[653] ^ VAR5[651] ^ VAR5[650] ^ VAR5[649] ^ VAR5[647] ^ VAR5[645] ^ VAR5[643] ^ VAR5[641] ^ VAR5[638] ^ VAR5[636] ^ VAR5[634] ^ VAR5[633] ^ VAR5[631] ^ VAR5[629] ^ VAR5[628] ^ VAR5[627] ^ VAR5[625] ^ VAR5[623] ^ VAR5[622] ^ VAR5[621] ^ VAR5[619] ^ VAR5[617] ^ VAR5[614] ^ VAR5[610] ^ VAR5[609] ^ VAR5[606] ^ VAR5[605] ^ VAR5[604] ^ VAR5[603] ^ VAR5[602] ^ VAR5[600] ^ VAR5[599] ^ VAR5[598] ^ VAR5[595] ^ VAR5[594] ^ VAR5[593] ^ VAR5[592] ^ VAR5[591] ^ VAR5[590] ^ VAR5[588] ^ VAR5[587] ^ VAR5[586] ^ VAR5[585] ^ VAR5[584] ^ VAR5[583] ^ VAR5[582] ^ VAR5[579] ^ VAR5[578] ^ VAR5[574] ^ VAR5[568] ^ VAR5[558] ^ VAR5[556] ^ VAR5[553] ^ VAR5[552] ^ VAR5[551] ^ VAR5[548] ^ VAR5[547] ^ VAR5[544] ^ VAR5[543] ^ VAR5[541] ^ VAR5[538] ^ VAR5[537] ^ VAR5[536] ^ VAR5[533] ^ VAR5[532] ^ VAR5[531] ^ VAR5[529] ^ VAR5[522] ^ VAR5[519] ^ VAR5[517] ^ VAR5[516] ^ VAR5[515] ^ VAR5[514] ^ VAR5[513] ^ VAR5[512] ^ VAR5[511] ^ VAR5[509] ^ VAR5[508] ^ VAR5[505] ^ VAR5[503] ^ VAR5[502] ^ VAR5[500] ^ VAR5[497] ^ VAR5[491] ^ VAR5[490] ^ VAR5[489] ^ VAR5[488] ^ VAR5[487] ^ VAR5[483] ^ VAR5[482] ^ VAR5[481] ^ VAR5[479] ^ VAR5[473] ^ VAR5[472] ^ VAR5[470] ^ VAR5[469] ^ VAR5[468] ^ VAR5[467] ^ VAR5[466] ^ VAR5[464] ^ VAR5[462] ^ VAR5[460] ^ VAR5[459] ^ VAR5[458] ^ VAR5[457] ^ VAR5[454] ^ VAR5[452] ^ VAR5[451] ^ VAR5[449] ^ VAR5[446] ^ VAR5[445] ^ VAR5[443] ^ VAR5[439] ^ VAR5[437] ^ VAR5[436] ^ VAR5[435] ^ VAR5[432] ^ VAR5[429] ^ VAR5[427] ^ VAR5[425] ^ VAR5[422] ^ VAR5[421] ^ VAR5[420] ^ VAR5[419] ^ VAR5[414] ^ VAR5[411] ^ VAR5[410] ^ VAR5[409] ^ VAR5[408] ^ VAR5[407] ^ VAR5[399] ^ VAR5[397] ^ VAR5[396] ^ VAR5[393] ^ VAR5[388] ^ VAR5[387] ^ VAR5[385] ^ VAR5[384] ^ VAR5[382] ^ VAR5[379] ^ VAR5[376] ^ VAR5[375] ^ VAR5[374] ^ VAR5[373] ^ VAR5[367] ^ VAR5[366] ^ VAR5[364] ^ VAR5[360] ^ VAR5[358] ^ VAR5[357] ^ VAR5[354] ^ VAR5[353] ^ VAR5[351] ^ VAR5[350] ^ VAR5[349] ^ VAR5[348] ^ VAR5[343] ^ VAR5[339] ^ VAR5[338] ^ VAR5[336] ^ VAR5[335] ^ VAR5[332] ^ VAR5[330] ^ VAR5[327] ^ VAR5[326] ^ VAR5[325] ^ VAR5[324] ^ VAR5[321] ^ VAR5[320] ^ VAR5[318] ^ VAR5[312] ^ VAR5[311] ^ VAR5[310] ^ VAR5[307] ^ VAR5[304] ^ VAR5[300] ^ VAR5[298] ^ VAR5[296] ^ VAR5[295] ^ VAR5[294] ^ VAR5[293] ^ VAR5[292] ^ VAR5[290] ^ VAR5[289] ^ VAR5[288] ^ VAR5[287] ^ VAR5[286] ^ VAR5[285] ^ VAR5[284] ^ VAR5[282] ^ VAR5[280] ^ VAR5[277] ^ VAR5[272] ^ VAR5[271] ^ VAR5[269] ^ VAR5[267] ^ VAR5[265] ^ VAR5[262] ^ VAR5[261] ^ VAR5[259] ^ VAR5[254] ^ VAR5[251] ^ VAR5[250] ^ VAR5[249] ^ VAR5[247] ^ VAR5[244] ^ VAR5[243] ^ VAR5[238] ^ VAR5[237] ^ VAR5[234] ^ VAR5[229] ^ VAR5[228] ^ VAR5[227] ^ VAR5[226] ^ VAR5[225] ^ VAR5[224] ^ VAR5[223] ^ VAR5[222] ^ VAR5[220] ^ VAR5[219] ^ VAR5[216] ^ VAR5[211] ^ VAR5[209] ^ VAR5[204] ^ VAR5[203] ^ VAR5[200] ^ VAR5[198] ^ VAR5[197] ^ VAR5[191] ^ VAR5[187] ^ VAR5[185] ^ VAR5[184] ^ VAR5[181] ^ VAR5[180] ^ VAR5[176] ^ VAR5[175] ^ VAR5[173] ^ VAR5[172] ^ VAR5[170] ^ VAR5[168] ^ VAR5[167] ^ VAR5[162] ^ VAR5[161] ^ VAR5[159] ^ VAR5[158] ^ VAR5[156] ^ VAR5[155] ^ VAR5[153] ^ VAR5[152] ^ VAR5[149] ^ VAR5[148] ^ VAR5[145] ^ VAR5[143] ^ VAR5[142] ^ VAR5[141] ^ VAR5[140] ^ VAR5[139] ^ VAR5[138] ^ VAR5[137] ^ VAR5[136] ^ VAR5[135] ^ VAR5[134] ^ VAR5[133] ^ VAR5[129] ^ VAR5[124] ^ VAR5[120] ^ VAR5[118] ^ VAR5[115] ^ VAR5[112] ^ VAR5[110] ^ VAR5[107] ^ VAR5[106] ^ VAR5[105] ^ VAR5[104] ^ VAR5[103] ^ VAR5[101] ^ VAR5[95] ^ VAR5[93] ^ VAR5[92] ^ VAR5[85] ^ VAR5[83] ^ VAR5[81] ^ VAR5[79] ^ VAR5[78] ^ VAR5[77] ^ VAR5[74] ^ VAR5[72] ^ VAR5[71] ^ VAR5[69] ^ VAR5[67] ^ VAR5[64] ^ VAR5[63] ^ VAR5[62] ^ VAR5[61] ^ VAR5[60] ^ VAR5[55] ^ VAR5[53] ^ VAR5[52] ^ VAR5[49] ^ VAR5[48] ^ VAR5[46] ^ VAR5[45] ^ VAR5[39] ^ VAR5[32] ^ VAR5[30] ^ VAR5[29] ^ VAR5[25] ^ VAR5[24] ^ VAR5[23] ^ VAR5[19] ^ VAR5[17] ^ VAR5[16] ^ VAR5[9] ^ VAR5[8] ^ VAR5[5] ^ VAR5[1] ^ VAR3[0] ^ VAR3[1] ^ VAR3[3] ^ VAR3[5] ^ VAR3[6] ^ VAR3[9] ^ VAR3[10] ^ VAR3[12] ^ VAR3[13];
VAR1[14] = VAR5[1022] ^ VAR5[1021] ^ VAR5[1019] ^ VAR5[1018] ^ VAR5[1015] ^ VAR5[1014] ^ VAR5[1012] ^ VAR5[1010] ^ VAR5[1009] ^ VAR5[1004] ^ VAR5[1000] ^ VAR5[997] ^ VAR5[996] ^ VAR5[995] ^ VAR5[994] ^ VAR5[993] ^ VAR5[991] ^ VAR5[990] ^ VAR5[989] ^ VAR5[988] ^ VAR5[986] ^ VAR5[984] ^ VAR5[982] ^ VAR5[981] ^ VAR5[980] ^ VAR5[979] ^ VAR5[977] ^ VAR5[974] ^ VAR5[973] ^ VAR5[972] ^ VAR5[968] ^ VAR5[966] ^ VAR5[964] ^ VAR5[962] ^ VAR5[961] ^ VAR5[960] ^ VAR5[959] ^ VAR5[958] ^ VAR5[956] ^ VAR5[955] ^ VAR5[953] ^ VAR5[951] ^ VAR5[950] ^ VAR5[949] ^ VAR5[948] ^ VAR5[947] ^ VAR5[946] ^ VAR5[944] ^ VAR5[943] ^ VAR5[940] ^ VAR5[939] ^ VAR5[936] ^ VAR5[935] ^ VAR5[934] ^ VAR5[933] ^ VAR5[931] ^ VAR5[929] ^ VAR5[928] ^ VAR5[926] ^ VAR5[924] ^ VAR5[923] ^ VAR5[922] ^ VAR5[920] ^ VAR5[919] ^ VAR5[917] ^ VAR5[916] ^ VAR5[913] ^ VAR5[912] ^ VAR5[908] ^ VAR5[907] ^ VAR5[906] ^ VAR5[905] ^ VAR5[902] ^ VAR5[901] ^ VAR5[900] ^ VAR5[896] ^ VAR5[894] ^ VAR5[893] ^ VAR5[892] ^ VAR5[889] ^ VAR5[887] ^ VAR5[886] ^ VAR5[885] ^ VAR5[884] ^ VAR5[880] ^ VAR5[879] ^ VAR5[878] ^ VAR5[876] ^ VAR5[873] ^ VAR5[872] ^ VAR5[870] ^ VAR5[869] ^ VAR5[868] ^ VAR5[866] ^ VAR5[865] ^ VAR5[864] ^ VAR5[862] ^ VAR5[860] ^ VAR5[859] ^ VAR5[858] ^ VAR5[857] ^ VAR5[855] ^ VAR5[854] ^ VAR5[853] ^ VAR5[852] ^ VAR5[850] ^ VAR5[848] ^ VAR5[844] ^ VAR5[843] ^ VAR5[840] ^ VAR5[838] ^ VAR5[837] ^ VAR5[835] ^ VAR5[834] ^ VAR5[833] ^ VAR5[832] ^ VAR5[831] ^ VAR5[830] ^ VAR5[829] ^ VAR5[828] ^ VAR5[820] ^ VAR5[814] ^ VAR5[813] ^ VAR5[812] ^ VAR5[809] ^ VAR5[807] ^ VAR5[801] ^ VAR5[800] ^ VAR5[798] ^ VAR5[791] ^ VAR5[789] ^ VAR5[788] ^ VAR5[785] ^ VAR5[783] ^ VAR5[782] ^ VAR5[780] ^ VAR5[779] ^ VAR5[778] ^ VAR5[776] ^ VAR5[774] ^ VAR5[773] ^ VAR5[772] ^ VAR5[770] ^ VAR5[767] ^ VAR5[765] ^ VAR5[763] ^ VAR5[762] ^ VAR5[761] ^ VAR5[760] ^ VAR5[758] ^ VAR5[754] ^ VAR5[750] ^ VAR5[749] ^ VAR5[748] ^ VAR5[747] ^ VAR5[743] ^ VAR5[742] ^ VAR5[738] ^ VAR5[736] ^ VAR5[735] ^ VAR5[734] ^ VAR5[732] ^ VAR5[731] ^ VAR5[729] ^ VAR5[726] ^ VAR5[723] ^ VAR5[721] ^ VAR5[717] ^ VAR5[715] ^ VAR5[714] ^ VAR5[713] ^ VAR5[712] ^ VAR5[711] ^ VAR5[708] ^ VAR5[706] ^ VAR5[702] ^ VAR5[701] ^ VAR5[700] ^ VAR5[698] ^ VAR5[696] ^ VAR5[694] ^ VAR5[693] ^ VAR5[692] ^ VAR5[691] ^ VAR5[687] ^ VAR5[686] ^ VAR5[685] ^ VAR5[682] ^ VAR5[680] ^ VAR5[679] ^ VAR5[678] ^ VAR5[676] ^ VAR5[675] ^ VAR5[670] ^ VAR5[669] ^ VAR5[668] ^ VAR5[667] ^ VAR5[665] ^ VAR5[664] ^ VAR5[663] ^ VAR5[657] ^ VAR5[656] ^ VAR5[655] ^ VAR5[654] ^ VAR5[652] ^ VAR5[651] ^ VAR5[650] ^ VAR5[648] ^ VAR5[646] ^ VAR5[644] ^ VAR5[642] ^ VAR5[639] ^ VAR5[637] ^ VAR5[635] ^ VAR5[634] ^ VAR5[632] ^ VAR5[630] ^ VAR5[629] ^ VAR5[628] ^ VAR5[626] ^ VAR5[624] ^ VAR5[623] ^ VAR5[622] ^ VAR5[620] ^ VAR5[618] ^ VAR5[615] ^ VAR5[611] ^ VAR5[610] ^ VAR5[607] ^ VAR5[606] ^ VAR5[605] ^ VAR5[604] ^ VAR5[603] ^ VAR5[601] ^ VAR5[600] ^ VAR5[599] ^ VAR5[596] ^ VAR5[595] ^ VAR5[594] ^ VAR5[593] ^ VAR5[592] ^ VAR5[591] ^ VAR5[589] ^ VAR5[588] ^ VAR5[587] ^ VAR5[586] ^ VAR5[585] ^ VAR5[584] ^ VAR5[583] ^ VAR5[580] ^ VAR5[579] ^ VAR5[575] ^ VAR5[569] ^ VAR5[559] ^ VAR5[557] ^ VAR5[554] ^ VAR5[553] ^ VAR5[552] ^ VAR5[549] ^ VAR5[548] ^ VAR5[545] ^ VAR5[544] ^ VAR5[542] ^ VAR5[539] ^ VAR5[538] ^ VAR5[537] ^ VAR5[534] ^ VAR5[533] ^ VAR5[532] ^ VAR5[530] ^ VAR5[523] ^ VAR5[520] ^ VAR5[518] ^ VAR5[517] ^ VAR5[516] ^ VAR5[515] ^ VAR5[514] ^ VAR5[513] ^ VAR5[512] ^ VAR5[510] ^ VAR5[509] ^ VAR5[506] ^ VAR5[504] ^ VAR5[503] ^ VAR5[501] ^ VAR5[498] ^ VAR5[492] ^ VAR5[491] ^ VAR5[490] ^ VAR5[489] ^ VAR5[488] ^ VAR5[484] ^ VAR5[483] ^ VAR5[482] ^ VAR5[480] ^ VAR5[474] ^ VAR5[473] ^ VAR5[471] ^ VAR5[470] ^ VAR5[469] ^ VAR5[468] ^ VAR5[467] ^ VAR5[465] ^ VAR5[463] ^ VAR5[461] ^ VAR5[460] ^ VAR5[459] ^ VAR5[458] ^ VAR5[455] ^ VAR5[453] ^ VAR5[452] ^ VAR5[450] ^ VAR5[447] ^ VAR5[446] ^ VAR5[444] ^ VAR5[440] ^ VAR5[438] ^ VAR5[437] ^ VAR5[436] ^ VAR5[433] ^ VAR5[430] ^ VAR5[428] ^ VAR5[426] ^ VAR5[423] ^ VAR5[422] ^ VAR5[421] ^ VAR5[420] ^ VAR5[415] ^ VAR5[412] ^ VAR5[411] ^ VAR5[410] ^ VAR5[409] ^ VAR5[408] ^ VAR5[400] ^ VAR5[398] ^ VAR5[397] ^ VAR5[394] ^ VAR5[389] ^ VAR5[388] ^ VAR5[386] ^ VAR5[385] ^ VAR5[383] ^ VAR5[380] ^ VAR5[377] ^ VAR5[376] ^ VAR5[375] ^ VAR5[374] ^ VAR5[368] ^ VAR5[367] ^ VAR5[365] ^ VAR5[361] ^ VAR5[359] ^ VAR5[358] ^ VAR5[355] ^ VAR5[354] ^ VAR5[352] ^ VAR5[351] ^ VAR5[350] ^ VAR5[349] ^ VAR5[344] ^ VAR5[340] ^ VAR5[339] ^ VAR5[337] ^ VAR5[336] ^ VAR5[333] ^ VAR5[331] ^ VAR5[328] ^ VAR5[327] ^ VAR5[326] ^ VAR5[325] ^ VAR5[322] ^ VAR5[321] ^ VAR5[319] ^ VAR5[313] ^ VAR5[312] ^ VAR5[311] ^ VAR5[308] ^ VAR5[305] ^ VAR5[301] ^ VAR5[299] ^ VAR5[297] ^ VAR5[296] ^ VAR5[295] ^ VAR5[294] ^ VAR5[293] ^ VAR5[291] ^ VAR5[290] ^ VAR5[289] ^ VAR5[288] ^ VAR5[287] ^ VAR5[286] ^ VAR5[285] ^ VAR5[283] ^ VAR5[281] ^ VAR5[278] ^ VAR5[273] ^ VAR5[272] ^ VAR5[270] ^ VAR5[268] ^ VAR5[266] ^ VAR5[263] ^ VAR5[262] ^ VAR5[260] ^ VAR5[255] ^ VAR5[252] ^ VAR5[251] ^ VAR5[250] ^ VAR5[248] ^ VAR5[245] ^ VAR5[244] ^ VAR5[239] ^ VAR5[238] ^ VAR5[235] ^ VAR5[230] ^ VAR5[229] ^ VAR5[228] ^ VAR5[227] ^ VAR5[226] ^ VAR5[225] ^ VAR5[224] ^ VAR5[223] ^ VAR5[221] ^ VAR5[220] ^ VAR5[217] ^ VAR5[212] ^ VAR5[210] ^ VAR5[205] ^ VAR5[204] ^ VAR5[201] ^ VAR5[199] ^ VAR5[198] ^ VAR5[192] ^ VAR5[188] ^ VAR5[186] ^ VAR5[185] ^ VAR5[182] ^ VAR5[181] ^ VAR5[177] ^ VAR5[176] ^ VAR5[174] ^ VAR5[173] ^ VAR5[171] ^ VAR5[169] ^ VAR5[168] ^ VAR5[163] ^ VAR5[162] ^ VAR5[160] ^ VAR5[159] ^ VAR5[157] ^ VAR5[156] ^ VAR5[154] ^ VAR5[153] ^ VAR5[150] ^ VAR5[149] ^ VAR5[146] ^ VAR5[144] ^ VAR5[143] ^ VAR5[142] ^ VAR5[141] ^ VAR5[140] ^ VAR5[139] ^ VAR5[138] ^ VAR5[137] ^ VAR5[136] ^ VAR5[135] ^ VAR5[134] ^ VAR5[130] ^ VAR5[125] ^ VAR5[121] ^ VAR5[119] ^ VAR5[116] ^ VAR5[113] ^ VAR5[111] ^ VAR5[108] ^ VAR5[107] ^ VAR5[106] ^ VAR5[105] ^ VAR5[104] ^ VAR5[102] ^ VAR5[96] ^ VAR5[94] ^ VAR5[93] ^ VAR5[86] ^ VAR5[84] ^ VAR5[82] ^ VAR5[80] ^ VAR5[79] ^ VAR5[78] ^ VAR5[75] ^ VAR5[73] ^ VAR5[72] ^ VAR5[70] ^ VAR5[68] ^ VAR5[65] ^ VAR5[64] ^ VAR5[63] ^ VAR5[62] ^ VAR5[61] ^ VAR5[56] ^ VAR5[54] ^ VAR5[53] ^ VAR5[50] ^ VAR5[49] ^ VAR5[47] ^ VAR5[46] ^ VAR5[40] ^ VAR5[33] ^ VAR5[31] ^ VAR5[30] ^ VAR5[26] ^ VAR5[25] ^ VAR5[24] ^ VAR5[20] ^ VAR5[18] ^ VAR5[17] ^ VAR5[10] ^ VAR5[9] ^ VAR5[6] ^ VAR5[2] ^ VAR3[1] ^ VAR3[2] ^ VAR3[4] ^ VAR3[6] ^ VAR3[7] ^ VAR3[10] ^ VAR3[11] ^ VAR3[13] ^ VAR3[14];
VAR1[15] = VAR5[1023] ^ VAR5[1022] ^ VAR5[1020] ^ VAR5[1019] ^ VAR5[1016] ^ VAR5[1015] ^ VAR5[1013] ^ VAR5[1011] ^ VAR5[1010] ^ VAR5[1005] ^ VAR5[1001] ^ VAR5[998] ^ VAR5[997] ^ VAR5[996] ^ VAR5[995] ^ VAR5[994] ^ VAR5[992] ^ VAR5[991] ^ VAR5[990] ^ VAR5[989] ^ VAR5[987] ^ VAR5[985] ^ VAR5[983] ^ VAR5[982] ^ VAR5[981] ^ VAR5[980] ^ VAR5[978] ^ VAR5[975] ^ VAR5[974] ^ VAR5[973] ^ VAR5[969] ^ VAR5[967] ^ VAR5[965] ^ VAR5[963] ^ VAR5[962] ^ VAR5[961] ^ VAR5[960] ^ VAR5[959] ^ VAR5[957] ^ VAR5[956] ^ VAR5[954] ^ VAR5[952] ^ VAR5[951] ^ VAR5[950] ^ VAR5[949] ^ VAR5[948] ^ VAR5[947] ^ VAR5[945] ^ VAR5[944] ^ VAR5[941] ^ VAR5[940] ^ VAR5[937] ^ VAR5[936] ^ VAR5[935] ^ VAR5[934] ^ VAR5[932] ^ VAR5[930] ^ VAR5[929] ^ VAR5[927] ^ VAR5[925] ^ VAR5[924] ^ VAR5[923] ^ VAR5[921] ^ VAR5[920] ^ VAR5[918] ^ VAR5[917] ^ VAR5[914] ^ VAR5[913] ^ VAR5[909] ^ VAR5[908] ^ VAR5[907] ^ VAR5[906] ^ VAR5[903] ^ VAR5[902] ^ VAR5[901] ^ VAR5[897] ^ VAR5[895] ^ VAR5[894] ^ VAR5[893] ^ VAR5[890] ^ VAR5[888] ^ VAR5[887] ^ VAR5[886] ^ VAR5[885] ^ VAR5[881] ^ VAR5[880] ^ VAR5[879] ^ VAR5[877] ^ VAR5[874] ^ VAR5[873] ^ VAR5[871] ^ VAR5[870] ^ VAR5[869] ^ VAR5[867] ^ VAR5[866] ^ VAR5[865] ^ VAR5[863] ^ VAR5[861] ^ VAR5[860] ^ VAR5[859] ^ VAR5[858] ^ VAR5[856] ^ VAR5[855] ^ VAR5[854] ^ VAR5[853] ^ VAR5[851] ^ VAR5[849] ^ VAR5[845] ^ VAR5[844] ^ VAR5[841] ^ VAR5[839] ^ VAR5[838] ^ VAR5[836] ^ VAR5[835] ^ VAR5[834] ^ VAR5[833] ^ VAR5[832] ^ VAR5[831] ^ VAR5[830] ^ VAR5[829] ^ VAR5[821] ^ VAR5[815] ^ VAR5[814] ^ VAR5[813] ^ VAR5[810] ^ VAR5[808] ^ VAR5[802] ^ VAR5[801] ^ VAR5[799] ^ VAR5[792] ^ VAR5[790] ^ VAR5[789] ^ VAR5[786] ^ VAR5[784] ^ VAR5[783] ^ VAR5[781] ^ VAR5[780] ^ VAR5[779] ^ VAR5[777] ^ VAR5[775] ^ VAR5[774] ^ VAR5[773] ^ VAR5[771] ^ VAR5[768] ^ VAR5[766] ^ VAR5[764] ^ VAR5[763] ^ VAR5[762] ^ VAR5[761] ^ VAR5[759] ^ VAR5[755] ^ VAR5[751] ^ VAR5[750] ^ VAR5[749] ^ VAR5[748] ^ VAR5[744] ^ VAR5[743] ^ VAR5[739] ^ VAR5[737] ^ VAR5[736] ^ VAR5[735] ^ VAR5[733] ^ VAR5[732] ^ VAR5[730] ^ VAR5[727] ^ VAR5[724] ^ VAR5[722] ^ VAR5[718] ^ VAR5[716] ^ VAR5[715] ^ VAR5[714] ^ VAR5[713] ^ VAR5[712] ^ VAR5[709] ^ VAR5[707] ^ VAR5[703] ^ VAR5[702] ^ VAR5[701] ^ VAR5[699] ^ VAR5[697] ^ VAR5[695] ^ VAR5[694] ^ VAR5[693] ^ VAR5[692] ^ VAR5[688] ^ VAR5[687] ^ VAR5[686] ^ VAR5[683] ^ VAR5[681] ^ VAR5[680] ^ VAR5[679] ^ VAR5[677] ^ VAR5[676] ^ VAR5[671] ^ VAR5[670] ^ VAR5[669] ^ VAR5[668] ^ VAR5[666] ^ VAR5[665] ^ VAR5[664] ^ VAR5[658] ^ VAR5[657] ^ VAR5[656] ^ VAR5[655] ^ VAR5[653] ^ VAR5[652] ^ VAR5[651] ^ VAR5[649] ^ VAR5[647] ^ VAR5[645] ^ VAR5[643] ^ VAR5[640] ^ VAR5[638] ^ VAR5[636] ^ VAR5[635] ^ VAR5[633] ^ VAR5[631] ^ VAR5[630] ^ VAR5[629] ^ VAR5[627] ^ VAR5[625] ^ VAR5[624] ^ VAR5[623] ^ VAR5[621] ^ VAR5[619] ^ VAR5[616] ^ VAR5[612] ^ VAR5[611] ^ VAR5[608] ^ VAR5[607] ^ VAR5[606] ^ VAR5[605] ^ VAR5[604] ^ VAR5[602] ^ VAR5[601] ^ VAR5[600] ^ VAR5[597] ^ VAR5[596] ^ VAR5[595] ^ VAR5[594] ^ VAR5[593] ^ VAR5[592] ^ VAR5[590] ^ VAR5[589] ^ VAR5[588] ^ VAR5[587] ^ VAR5[586] ^ VAR5[585] ^ VAR5[584] ^ VAR5[581] ^ VAR5[580] ^ VAR5[576] ^ VAR5[570] ^ VAR5[560] ^ VAR5[558] ^ VAR5[555] ^ VAR5[554] ^ VAR5[553] ^ VAR5[550] ^ VAR5[549] ^ VAR5[546] ^ VAR5[545] ^ VAR5[543] ^ VAR5[540] ^ VAR5[539] ^ VAR5[538] ^ VAR5[535] ^ VAR5[534] ^ VAR5[533] ^ VAR5[531] ^ VAR5[524] ^ VAR5[521] ^ VAR5[519] ^ VAR5[518] ^ VAR5[517] ^ VAR5[516] ^ VAR5[515] ^ VAR5[514] ^ VAR5[513] ^ VAR5[511] ^ VAR5[510] ^ VAR5[507] ^ VAR5[505] ^ VAR5[504] ^ VAR5[502] ^ VAR5[499] ^ VAR5[493] ^ VAR5[492] ^ VAR5[491] ^ VAR5[490] ^ VAR5[489] ^ VAR5[485] ^ VAR5[484] ^ VAR5[483] ^ VAR5[481] ^ VAR5[475] ^ VAR5[474] ^ VAR5[472] ^ VAR5[471] ^ VAR5[470] ^ VAR5[469] ^ VAR5[468] ^ VAR5[466] ^ VAR5[464] ^ VAR5[462] ^ VAR5[461] ^ VAR5[460] ^ VAR5[459] ^ VAR5[456] ^ VAR5[454] ^ VAR5[453] ^ VAR5[451] ^ VAR5[448] ^ VAR5[447] ^ VAR5[445] ^ VAR5[441] ^ VAR5[439] ^ VAR5[438] ^ VAR5[437] ^ VAR5[434] ^ VAR5[431] ^ VAR5[429] ^ VAR5[427] ^ VAR5[424] ^ VAR5[423] ^ VAR5[422] ^ VAR5[421] ^ VAR5[416] ^ VAR5[413] ^ VAR5[412] ^ VAR5[411] ^ VAR5[410] ^ VAR5[409] ^ VAR5[401] ^ VAR5[399] ^ VAR5[398] ^ VAR5[395] ^ VAR5[390] ^ VAR5[389] ^ VAR5[387] ^ VAR5[386] ^ VAR5[384] ^ VAR5[381] ^ VAR5[378] ^ VAR5[377] ^ VAR5[376] ^ VAR5[375] ^ VAR5[369] ^ VAR5[368] ^ VAR5[366] ^ VAR5[362] ^ VAR5[360] ^ VAR5[359] ^ VAR5[356] ^ VAR5[355] ^ VAR5[353] ^ VAR5[352] ^ VAR5[351] ^ VAR5[350] ^ VAR5[345] ^ VAR5[341] ^ VAR5[340] ^ VAR5[338] ^ VAR5[337] ^ VAR5[334] ^ VAR5[332] ^ VAR5[329] ^ VAR5[328] ^ VAR5[327] ^ VAR5[326] ^ VAR5[323] ^ VAR5[322] ^ VAR5[320] ^ VAR5[314] ^ VAR5[313] ^ VAR5[312] ^ VAR5[309] ^ VAR5[306] ^ VAR5[302] ^ VAR5[300] ^ VAR5[298] ^ VAR5[297] ^ VAR5[296] ^ VAR5[295] ^ VAR5[294] ^ VAR5[292] ^ VAR5[291] ^ VAR5[290] ^ VAR5[289] ^ VAR5[288] ^ VAR5[287] ^ VAR5[286] ^ VAR5[284] ^ VAR5[282] ^ VAR5[279] ^ VAR5[274] ^ VAR5[273] ^ VAR5[271] ^ VAR5[269] ^ VAR5[267] ^ VAR5[264] ^ VAR5[263] ^ VAR5[261] ^ VAR5[256] ^ VAR5[253] ^ VAR5[252] ^ VAR5[251] ^ VAR5[249] ^ VAR5[246] ^ VAR5[245] ^ VAR5[240] ^ VAR5[239] ^ VAR5[236] ^ VAR5[231] ^ VAR5[230] ^ VAR5[229] ^ VAR5[228] ^ VAR5[227] ^ VAR5[226] ^ VAR5[225] ^ VAR5[224] ^ VAR5[222] ^ VAR5[221] ^ VAR5[218] ^ VAR5[213] ^ VAR5[211] ^ VAR5[206] ^ VAR5[205] ^ VAR5[202] ^ VAR5[200] ^ VAR5[199] ^ VAR5[193] ^ VAR5[189] ^ VAR5[187] ^ VAR5[186] ^ VAR5[183] ^ VAR5[182] ^ VAR5[178] ^ VAR5[177] ^ VAR5[175] ^ VAR5[174] ^ VAR5[172] ^ VAR5[170] ^ VAR5[169] ^ VAR5[164] ^ VAR5[163] ^ VAR5[161] ^ VAR5[160] ^ VAR5[158] ^ VAR5[157] ^ VAR5[155] ^ VAR5[154] ^ VAR5[151] ^ VAR5[150] ^ VAR5[147] ^ VAR5[145] ^ VAR5[144] ^ VAR5[143] ^ VAR5[142] ^ VAR5[141] ^ VAR5[140] ^ VAR5[139] ^ VAR5[138] ^ VAR5[137] ^ VAR5[136] ^ VAR5[135] ^ VAR5[131] ^ VAR5[126] ^ VAR5[122] ^ VAR5[120] ^ VAR5[117] ^ VAR5[114] ^ VAR5[112] ^ VAR5[109] ^ VAR5[108] ^ VAR5[107] ^ VAR5[106] ^ VAR5[105] ^ VAR5[103] ^ VAR5[97] ^ VAR5[95] ^ VAR5[94] ^ VAR5[87] ^ VAR5[85] ^ VAR5[83] ^ VAR5[81] ^ VAR5[80] ^ VAR5[79] ^ VAR5[76] ^ VAR5[74] ^ VAR5[73] ^ VAR5[71] ^ VAR5[69] ^ VAR5[66] ^ VAR5[65] ^ VAR5[64] ^ VAR5[63] ^ VAR5[62] ^ VAR5[57] ^ VAR5[55] ^ VAR5[54] ^ VAR5[51] ^ VAR5[50] ^ VAR5[48] ^ VAR5[47] ^ VAR5[41] ^ VAR5[34] ^ VAR5[32] ^ VAR5[31] ^ VAR5[27] ^ VAR5[26] ^ VAR5[25] ^ VAR5[21] ^ VAR5[19] ^ VAR5[18] ^ VAR5[11] ^ VAR5[10] ^ VAR5[7] ^ VAR5[3] ^ VAR3[2] ^ VAR3[3] ^ VAR3[5] ^ VAR3[7] ^ VAR3[8] ^ VAR3[11] ^ VAR3[12] ^ VAR3[14] ^ VAR3[15];
VAR4 = VAR1;
end
endmodule
|
gpl-3.0
|
SteffenReith/J1Sc
|
src/main/verilog/arch/IceBreaker/Board_IceBreaker.v
| 2,247 |
module MODULE1 (VAR6,
VAR11,
VAR3,
VAR15,
VAR22,
VAR9,
VAR20,
VAR12,
VAR26,
VAR19);
input VAR6;
input VAR11;
input [0:0] VAR3;
input [1:0] VAR9;
input VAR12;
output [3:0] VAR15;
output [0:0] VAR22;
output VAR26;
output VAR19;
inout [7:0] VAR20;
wire VAR14;
wire VAR2;
wire [7:0] VAR27;
wire [7:0] VAR17;
wire [7:0] VAR1;
wire reset;
VAR7 VAR25 (.VAR32 (VAR11),
.VAR4 (VAR14),
.VAR10 (VAR2));
VAR8 VAR23 (.reset (reset),
.VAR14 (VAR14),
.VAR2 (VAR2),
.VAR3 (VAR3),
.VAR15 (VAR15),
.VAR22 (VAR22),
.VAR9 (VAR9),
.VAR27 (VAR27),
.VAR17 (VAR17),
.VAR1 (VAR1),
.VAR12 (VAR12),
.VAR26 (VAR26),
.VAR19 (VAR19));
assign reset = !VAR6;
genvar VAR24;
generate
for (VAR24 = 0; VAR24 < 8; VAR24 = VAR24 + 1) begin
VAR28 #(.VAR13(6'VAR31 101001),
.VAR29(1'VAR31 0)
) VAR21 (
.VAR16(VAR20[VAR24]),
.VAR30(VAR1[VAR24]),
.VAR18(VAR17[VAR24]),
.VAR5(VAR27[VAR24]));
end
endgenerate
endmodule
|
bsd-3-clause
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
models/udp_dff_nsr_pp_pg_n/sky130_fd_sc_hdll__udp_dff_nsr_pp_pg_n.symbol.v
| 1,663 |
module MODULE1 (
input VAR4 ,
output VAR2 ,
input VAR1 ,
input VAR6 ,
input VAR3 ,
input VAR5,
input VAR8 ,
input VAR7
);
endmodule
|
apache-2.0
|
BilkentCompGen/GateKeeper
|
FPGA_Application_v2/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/pcie3_7x_0/source/pcie3_7x_0_pcie_force_adapt.v
| 12,790 |
module MODULE1 (
input VAR45,
input VAR18,
input VAR55,
input [5:0] VAR33,
input [2:0] VAR22,
input [1:0] VAR26,
input VAR17,
input VAR12,
input [1:0] VAR16,
input [31:0] VAR56,
input [31:0] VAR4,
input [31:0] VAR38,
input [31:0] VAR31,
input [31:0] VAR40,
input [31:0] VAR49,
input [31:0] VAR60,
input [31:0] VAR50,
input [1:0] VAR30,
input [1:0] VAR63,
input [1:0] VAR9,
input [1:0] VAR42,
input [1:0] VAR46,
input [1:0] VAR29,
input [1:0] VAR41,
input [1:0] VAR27,
output [31:0] VAR43,
output [31:0] VAR24,
output [31:0] VAR58,
output [31:0] VAR23,
output [31:0] VAR61,
output [31:0] VAR6,
output [31:0] VAR36,
output [31:0] VAR48,
output [1:0] VAR32,
output [1:0] VAR54,
output [1:0] VAR52,
output [1:0] VAR15,
output [1:0] VAR59,
output [1:0] VAR7,
output [1:0] VAR8,
output [1:0] VAR47
);
localparam VAR51 = "VAR39";
reg [5:0] VAR11 = 6'b0;
reg [5:0] VAR44 = 6'b0;
reg [5:0] VAR2 = 6'b0;
reg VAR19 = 1'b0;
reg VAR3 = 1'b1;
reg VAR34 = 1'b0;
always @ (posedge VAR18 )
begin
VAR11 <= VAR33;
VAR44 <= VAR11;
VAR2 <= VAR44;
end
always @ (posedge VAR18 )
begin
if (VAR2 == 6'h10 && VAR22[2] )
VAR3 <= 1'b1;
end
else if ((VAR2 == 6'hc || VAR2 == 6'hD ) && VAR16[0])
VAR3 <= 1'b0;
else
VAR3 <= VAR3;
end
always @ (posedge VAR18 )
begin
if ((VAR2 == 6'hc || VAR2 == 6'h18 ) && VAR33 == 6'hb)
begin
VAR19 <= VAR3;
end
else if (VAR33 != 6'hb) begin
VAR19 <= 1'b0;
end
else begin
VAR19 <= VAR19;
end
end
generate
if (VAR51 == "VAR39")
begin: VAR25
always @ (posedge VAR18 )
begin
if (VAR2 == 6'h25 || VAR2 == 6'h24 )
begin
VAR34 <= 1'b1;
end
else
VAR34 <= 1'b0;
end
end
endgenerate
wire VAR10;
reg VAR35 =1'b0;
reg VAR57 =1'b0;
reg VAR21 =1'b0;
reg VAR62 =1'b0;
reg VAR14 =1'b0;
reg VAR53 =1'b0;
reg [3:0] VAR13 =4'b0001;
reg VAR20 = 1'b0;
localparam VAR28 = 4'b0001;
localparam VAR37 = 4'b0010;
localparam VAR5 = 4'b0100;
localparam VAR1 = 4'b1000;
assign VAR10 = ~ VAR17;
always @ (posedge VAR45 )
begin
VAR35 <= VAR19;
VAR57 <= VAR35;
VAR21 <= VAR57;
end
always @ (posedge VAR45 )
begin
VAR62 <= VAR34;
VAR14 <= VAR62;
VAR53 <= VAR14;
end
always @ (posedge VAR45 )
begin
case(VAR13)
VAR28 : begin
if (VAR21 && VAR10 && VAR26[1])
VAR13 <= VAR37;
end
else if (VAR53 && VAR26[1])
VAR13 <= VAR5;
end
else
VAR13 <= VAR28;
end
VAR37 : begin
if (VAR12)
VAR13 <= VAR1;
end
else
VAR13 <= VAR37;
end
VAR5 : begin
if (VAR12)
VAR13 <= VAR28;
end
else
VAR13 <= VAR5;
end
VAR1 : begin
if (!VAR21)
VAR13 <= VAR28;
end
else
VAR13 <= VAR1;
end
endcase
end
assign VAR43 = (VAR13 == VAR37) ? {32{1'b1}}: VAR56;
assign VAR24 = (VAR13 == VAR37) ? {32{1'b1}}: VAR4;
assign VAR58 = (VAR13 == VAR37) ? {32{1'b1}}: VAR38;
assign VAR23 = (VAR13 == VAR37) ? {32{1'b1}}: VAR31;
assign VAR61 = (VAR13 == VAR37) ? {32{1'b1}}: VAR40;
assign VAR6 = (VAR13 == VAR37) ? {32{1'b1}}: VAR49;
assign VAR36 = (VAR13 == VAR37) ? {32{1'b1}}: VAR60;
assign VAR48 = (VAR13 == VAR37) ? {32{1'b1}}: VAR50;
assign VAR32 = ((VAR13 == VAR37) || (VAR13 == VAR5)) ? 2'b11 : VAR30;
assign VAR54 = ((VAR13 == VAR37) || (VAR13 == VAR5)) ? 2'b11 : VAR63;
assign VAR52 = ((VAR13 == VAR37) || (VAR13 == VAR5)) ? 2'b11 : VAR9;
assign VAR15 = ((VAR13 == VAR37) || (VAR13 == VAR5)) ? 2'b11 : VAR42;
assign VAR59 = ((VAR13 == VAR37) || (VAR13 == VAR5)) ? 2'b11 : VAR46;
assign VAR7 = ((VAR13 == VAR37) || (VAR13 == VAR5)) ? 2'b11 : VAR29;
assign VAR8 = ((VAR13 == VAR37) || (VAR13 == VAR5)) ? 2'b11 : VAR41;
assign VAR47 = ((VAR13 == VAR37) || (VAR13 == VAR5)) ? 2'b11 : VAR27;
endmodule
|
gpl-3.0
|
dm-urievich/afc-smm
|
software/third-patry/pipelined_fft_256/trunk/SRC/mpuc541.v
| 5,290 |
module MODULE1 ( VAR11,VAR4 ,VAR5, VAR20,VAR19,VAR12 ,VAR17 ,VAR2 );
input VAR11 ;
wire VAR11 ;
input VAR4 ;
wire VAR4 ;
input VAR5; input VAR20 ; wire VAR20 ;
input [VAR3-1:0] VAR19 ;
wire signed [VAR3-1:0] VAR19 ;
input [VAR3-1:0] VAR12 ;
wire signed [VAR3-1:0] VAR12 ;
output [VAR3-1:0] VAR17 ;
reg [VAR3-1:0] VAR17 ;
output [VAR3-1:0] VAR2 ;
reg [VAR3-1:0] VAR2 ;
reg signed [VAR3 :0] VAR15;
reg signed [VAR3 :0] VAR16;
reg signed [VAR3-1 :0] VAR18;
reg signed [VAR3-1 : 0] VAR21;
wire signed [VAR3+1 : 0] VAR22;
wire signed [VAR3+1 : 0] VAR10;
reg VAR13,VAR14, VAR23; reg VAR9,VAR1,VAR6;
reg [VAR3-1:0] VAR8 ;
reg [VAR3-1:0] VAR7 ;
always @(posedge VAR11)
begin
if (VAR4) begin
VAR13<=VAR5;
VAR14<=VAR13;
VAR23<=VAR14;
VAR9<=VAR20;
VAR1<=VAR9;
VAR6<=VAR1;
if (VAR5) begin VAR15<=VAR19+(VAR19 >>>2); VAR16<=VAR19+(VAR19 >>>1); VAR21<=VAR19;
VAR18<=VAR12;
end
else begin
VAR15<=VAR18+(VAR18 >>>2); VAR16<=VAR18 +(VAR18 >>>1); VAR21<=VAR18;
end
VAR8<=VAR10 >>>2;
VAR7<=VAR8;
if (VAR23)
if (VAR6) begin
VAR17<=VAR8;
VAR2<= - VAR7; end
else begin
VAR17<=VAR7;
VAR2<= VAR8; end
end
end
assign VAR22=(VAR21<<1)+(VAR15>>>3);
assign VAR10= (VAR22+(VAR21>>>7) +(VAR16>>>11)); else
assign VAR10= VAR22+(VAR21>>>7);
endmodule
|
apache-2.0
|
r2t2sdr/r2t2
|
fpga/modules/adi_hdl/library/controllerperipheralhdladi_pcore/velocityControlHdl_Clamp_block1.v
| 1,590 |
module MODULE1
(
VAR2,
VAR7,
VAR8,
VAR5
);
input signed [35:0] VAR2; input VAR7;
input signed [35:0] VAR8; output VAR5;
wire VAR9;
wire VAR3;
wire VAR6;
wire VAR4;
assign VAR9 = (VAR8 <= 36'VAR1 ? 1'b1 :
1'b0);
assign VAR3 = (VAR2 <= 36'VAR1 ? 1'b1 :
1'b0);
assign VAR6 = ~ (VAR9 ^ VAR3);
assign VAR4 = VAR6 & VAR7;
assign VAR5 = VAR4;
endmodule
|
gpl-3.0
|
MeshSr/onetswitch45
|
ons45-app52-ref_ofshw/vivado/onets_7045_4x_ref_ofshw/ip/packet_pipeline_v1_0/src/user_data_path/fallthrough_small_fifo_v2.v
| 4,494 |
module MODULE1
parameter VAR8 = 3,
parameter VAR15 = 2**VAR8 - 1)
(
input [VAR11-1:0] din, input VAR12,
input VAR4,
output [VAR11-1:0] dout, output VAR6,
output VAR10,
output VAR1,
output reg VAR2,
input reset,
input clk
);
reg VAR13, VAR9;
VAR14
.VAR8 (VAR8),
.VAR15 (VAR15))
VAR3
(.din (din),
.VAR12 (VAR12),
.VAR4 (VAR13),
.dout (dout),
.VAR6 (VAR6),
.VAR10 (VAR10),
.VAR1 (VAR1),
.VAR2 (VAR5),
.reset (reset),
.clk (clk)
);
always @(*) begin
VAR9 = VAR2;
VAR13 = 0;
case (VAR2)
1'b1: begin
if(!VAR5) begin
VAR13 = 1;
VAR9 = 0;
end
end
1'b0: begin
if(VAR4) begin
if(VAR5) begin
VAR9 = 1;
end
else begin
VAR13 = 1;
end
end
end
endcase end
always @(posedge clk) begin
if(reset) begin
VAR2 <= 1'b1;
end
else begin
VAR2 <= VAR9;
end
end
always @(posedge clk)
begin
if (VAR12 && VAR6) begin
end
if (VAR4 && VAR2) begin
end
end
endmodule
module MODULE2();
reg [31:0] din = 0;
reg VAR12 = 0;
reg VAR4 = 0;
wire [31:0] dout;
wire VAR6;
wire VAR10;
wire VAR1;
wire VAR2;
reg clk = 0;
reg reset = 0;
integer VAR7 = 0;
always clk = ~clk;
MODULE1
.VAR8 (3),
.VAR15 (4))
VAR3
(.din (din),
.VAR12 (VAR12),
.VAR4 (VAR4),
.dout (dout),
.VAR6 (VAR6),
.VAR10 (VAR10),
.VAR1 (VAR1),
.VAR2 (VAR2),
.reset (reset),
.clk (clk)
);
always @(posedge clk) begin
VAR7 <= VAR7 + 1;
reset <= 0;
VAR12 <= 0;
VAR4 <= 0;
if(VAR7 < 2) begin
reset <= 1'b1;
end
else if(VAR7 < 2 + 9) begin
VAR12 <= 1;
din <= din + 1'b1;
end
else if(VAR7 < 2 + 8 + 4) begin
VAR4 <= 1;
end
else if(VAR7 < 2 + 8 + 4 + 2) begin
din <= din + 1'b1;
VAR12 <= 1'b1;
end
else if(VAR7 < 2 + 8 + 4 + 2 + 8) begin
din <= din + 1'b1;
VAR12 <= 1'b1;
VAR4 <= 1'b1;
end
else if(VAR7 < 2 + 8 + 4 + 2 + 8 + 4) begin
VAR4 <= 1'b1;
end
else if(VAR7 < 2 + 8 + 4 + 2 + 8 + 4 + 8) begin
din <= din + 1'b1;
VAR12 <= 1'b1;
VAR4 <= 1'b1;
end
end endmodule
|
lgpl-2.1
|
Franderg/Ascensor
|
manejo_entradas.v
| 1,397 |
module MODULE1(
input clk,
input VAR3,
input VAR1,
input VAR10,
input VAR5,
input VAR8,
input VAR9,
input VAR4,
input VAR11,
input VAR6,
input VAR2,
output reg [3:0] VAR7
);
|
gpl-3.0
|
gajjanag/6111_Project
|
src/slow_clk.v
| 1,661 |
module MODULE1(input clk, output MODULE1);
parameter VAR1 = 27'd49999999;
reg [31:0] VAR3 = 0;
reg VAR2 = 0;
always @(posedge clk) begin
if (VAR3 == VAR1) begin
VAR2 <= ~VAR2;
VAR3 <= 0;
end
else begin
VAR3 <= VAR3 + 1;
end
end
assign MODULE1 = VAR2;
endmodule
|
gpl-3.0
|
racerxdl/SuperINT
|
Slave Codes/FPGA/LedPWM.v
| 1,179 |
module MODULE1(
input clk,
input [7:0] VAR3,
output out
);
reg [7:0] VAR2;
reg VAR1;
always @(posedge clk)
begin
if(VAR2 <= VAR3 & VAR3 != 0)
VAR1 <= 1;
end
else
VAR1 <= 0;
VAR2 <= VAR2+1;
end
assign out = VAR1;
endmodule
|
gpl-2.0
|
egyp7/mor1kx
|
rtl/verilog/mor1kx_ticktimer.v
| 2,547 |
module MODULE1
(
input clk,
input rst,
output [31:0] VAR16,
output [31:0] VAR19,
input VAR7,
input VAR15,
input [15:0] VAR14,
input [31:0] VAR9,
output VAR8,
output [31:0] VAR12
);
reg [31:0] VAR4;
reg [31:0] VAR17;
wire VAR13;
wire VAR18;
wire VAR6;
wire VAR1;
wire VAR5;
assign VAR16 = VAR4;
assign VAR19 = VAR17;
assign VAR13 =
VAR7 &
(VAR10(VAR14) == VAR10(VAR11));
assign VAR18 =
VAR7 &
(VAR10(VAR14) == VAR10(VAR3));
assign VAR8 = VAR7;
assign VAR12 = (VAR7 & VAR18) ? VAR17 :
(VAR7 & VAR13) ? VAR4 : 0;
assign VAR5 = VAR17[27:0] == VAR4[27:0];
always @(posedge clk VAR2)
if (rst)
VAR4 <= 0;
else if (VAR15 & VAR13)
VAR4 <= VAR9[31:0];
else if (VAR5 & VAR4[29])
VAR4[28] <= 1;
assign VAR6 = (VAR4[31:30] == 2'b01) & VAR5;
assign VAR1 = (VAR4[31:30] != 2'b00) & !VAR5 |
(VAR4[31:30] == 2'b11);
always @(posedge clk VAR2)
if (rst)
VAR17 <= 0;
else if (VAR15 & VAR18)
VAR17 <= VAR9[31:0];
else if (VAR6)
VAR17 <= 0;
else if (VAR1)
VAR17 <= VAR17 + 1;
endmodule
|
mpl-2.0
|
csturton/wirepatch
|
system/hardware/cores/uart16550/bench/verilog/uart_device.v
| 22,816 |
module MODULE1
(
VAR35,
VAR34,
VAR29,
VAR20,
VAR32,
VAR12,
VAR52,
VAR43
);
input VAR35;
output VAR34;
input VAR29;
output VAR20;
input VAR32;
output VAR12;
output VAR52;
output VAR43;
reg VAR9; reg VAR30; reg VAR75; reg VAR37 = 1'b1;
reg VAR24 = 1'b1;
reg VAR48 = 1'b1;
real VAR42 = 20;
real VAR23 = 0;
integer VAR67 = 5;
wire VAR15;
wire VAR50;
wire VAR19;
reg [3:0] VAR18;
reg VAR36;
reg VAR40;
reg VAR39;
reg VAR56;
reg VAR64;
reg VAR65;
reg VAR59;
reg VAR73;
wire [3:0] VAR57;
wire [5:0] VAR17;
reg VAR51;
reg VAR47;
reg VAR49;
reg [31:0] VAR25;
reg VAR4;
integer VAR2;
integer VAR46;
reg [7:0] VAR22;
reg [1:0] VAR44;
reg VAR58;
reg VAR7;
reg VAR28;
reg VAR68;
reg VAR61;
reg [31:0] VAR54;
VAR53 VAR41;
VAR53 VAR31;
VAR53 VAR1;
VAR53 VAR60;
reg VAR33;
reg [3:0] VAR8;
reg VAR26;
reg VAR45;
reg VAR55;
reg VAR27;
reg VAR70;
reg VAR71;
reg VAR10;
reg [23:0] VAR74;
reg VAR6;
reg [31:0] VAR16;
reg VAR66;
reg VAR13;
reg [15:0] VAR38;
reg VAR5;
reg [31:0] VAR62;
reg VAR69;
reg [7:0] VAR14;
reg VAR21;
reg VAR72;
reg VAR11;
VAR53 VAR3;
VAR53 VAR63;
always@(posedge VAR9)
if (VAR37)
always@(negedge VAR9)
if (VAR37)
always@(posedge VAR30)
if (VAR24)
always@(negedge VAR30)
if (VAR24)
always@(posedge VAR75)
if (VAR48)
always@(negedge VAR75)
if (VAR48)
begin
begin
begin
begin
begin
end
begin
end
begin
begin
begin
end
begin
begin
begin
end
begin
begin
begin
begin
end
begin
begin
begin
begin
begin
begin
end
begin
begin
begin
end
begin
begin
begin
begin
begin
end
begin
begin
end
begin
begin
begin
begin
end
begin
begin
begin
begin
begin
end
begin
begin
begin
begin
end
begin
|
mit
|
jotego/jt12
|
hdl/mixer/jt12_mixer.v
| 2,727 |
module MODULE1 #(parameter VAR12=16,VAR27=16,VAR4=16,VAR16=16,VAR21=20)
(
input clk,
input VAR26,
input signed [VAR12-1:0] VAR1,
input signed [VAR27-1:0] VAR19,
input signed [VAR4-1:0] VAR3,
input signed [VAR16-1:0] VAR13,
input [7:0] VAR29,
input [7:0] VAR14,
input [7:0] VAR28,
input [7:0] VAR6,
output reg signed [VAR21-1:0] VAR24
);
reg signed [VAR12+7:0] VAR11;
reg signed [VAR27+7:0] VAR8;
reg signed [VAR4+7:0] VAR9;
reg signed [VAR16+7:0] VAR17;
wire signed [VAR21+11:0] VAR20 = { {VAR21+4-VAR12{VAR11[VAR12+7]}}, VAR11 };
wire signed [VAR21+11:0] VAR22 = { {VAR21+4-VAR27{VAR8[VAR27+7]}}, VAR8 };
wire signed [VAR21+11:0] VAR2 = { {VAR21+4-VAR4{VAR9[VAR4+7]}}, VAR9 };
wire signed [VAR21+11:0] VAR23 = { {VAR21+4-VAR16{VAR17[VAR16+7]}}, VAR17 };
reg signed [VAR21+11:0] sum, VAR15;
wire signed [VAR21+11:0] VAR5 = { {12{1'b0}}, {(VAR21-1){1'b1}}};
wire signed [8:0]
VAR7 = {1'b0, VAR29},
VAR18 = {1'b0, VAR14},
VAR25 = {1'b0, VAR28},
VAR10 = {1'b0, VAR6};
always @(posedge clk) if(VAR26) begin
VAR11 <= VAR7 * VAR1;
VAR8 <= VAR18 * VAR19;
VAR9 <= VAR25 * VAR3;
VAR17 <= VAR10 * VAR13;
sum <= (VAR20 + VAR22 + VAR2 + VAR23)>>>4;
VAR15 <= sum>VAR5 ? VAR5 : (sum<~VAR5 ? ~VAR5 : sum);
VAR24 <= VAR15[VAR21-1:0];
end
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/ebufn/sky130_fd_sc_ls__ebufn.functional.v
| 1,216 |
module MODULE1 (
VAR2 ,
VAR3 ,
VAR4
);
output VAR2 ;
input VAR3 ;
input VAR4;
bufif0 VAR1 (VAR2 , VAR3, VAR4 );
endmodule
|
apache-2.0
|
MarcoVogt/basil
|
firmware/modules/m26_rx/m26_rx_core.v
| 5,081 |
module MODULE1
parameter VAR49 = 16,
parameter VAR50 = 0,
parameter VAR23 = 0
)(
input wire VAR4,
input wire VAR64,
input wire [1:0] VAR40,
input wire VAR2,
output wire VAR85,
output wire [31:0] VAR7,
input wire VAR22,
input wire [VAR49-1:0] VAR24,
input wire [7:0] VAR15,
output reg [7:0] VAR3,
input wire VAR32,
input wire VAR72,
input wire VAR6,
input wire [31:0] VAR62,
output wire VAR35
);
localparam VAR57 = 1;
wire VAR69;
assign VAR69 = (VAR24==0 && VAR72);
wire VAR75;
assign VAR75 = VAR32 | VAR69;
reg VAR81;
reg VAR41;
always @(posedge VAR22) begin
if(VAR75) begin
VAR81 <= 0;
VAR41 <= 0;
end
else if(VAR72) begin
if(VAR24 == 2) begin
VAR81 <= VAR15[0];
VAR41 <= VAR15[1];
end
end
end
reg [7:0] VAR17;
always @(posedge VAR22) begin
if(VAR6) begin
if(VAR24 == 0)
VAR3 <= VAR57;
end
else if(VAR24 == 2)
VAR3 <= {6'b0, VAR41, VAR81};
end
else if(VAR24 == 3)
VAR3 <= VAR17;
else
VAR3 <= 8'b0;
end
end
wire VAR52;
wire VAR34;
VAR61 VAR73 (.VAR80(VAR22), .VAR74(VAR75), .VAR58(VAR4), .VAR68(VAR34));
assign VAR52 = VAR34;
wire VAR27;
assign VAR27 = VAR81;
wire VAR1;
VAR76 VAR86 (
.VAR29(),
.VAR53(VAR1),
.VAR78(VAR4),
.VAR71(1'b1),
.VAR79(VAR64),
.VAR37(1'b0),
.VAR12(1'b0)
);
wire [1:0] VAR9;
VAR76 VAR20 (
.VAR29(),
.VAR53(VAR9[0]),
.VAR78(VAR4),
.VAR71(1'b1),
.VAR79(VAR40[0]),
.VAR37(1'b0),
.VAR12(1'b0)
);
VAR76 VAR77 (
.VAR29(),
.VAR53(VAR9[1]),
.VAR78(VAR4),
.VAR71(1'b1),
.VAR79(VAR40[1]),
.VAR37(1'b0),
.VAR12(1'b0)
);
reg [4:0] VAR26;
always@(posedge VAR4)
VAR26[4:0] <= {VAR26[3:0], VAR1};
reg [4:0] VAR5;
always@(posedge VAR4)
VAR5[4:0] <= {VAR5[3:0], VAR9[1]};
reg [4:0] VAR28;
always@(posedge VAR4)
VAR28[4:0] <= {VAR28[3:0], VAR9[0]};
wire [1:0] VAR25;
wire VAR33, VAR38;
wire [15:0] VAR54 [1:0];
VAR63 VAR19(
.VAR75(VAR52), .VAR4(VAR4), .VAR64(VAR26[0]), .VAR40(VAR28[0]),
.VAR25(VAR25[0]), .VAR33(VAR33), .VAR54(VAR54[0])
);
VAR63 VAR11(
.VAR75(VAR52), .VAR4(VAR4), .VAR64(VAR26[4]), .VAR40(VAR5[4]),
.VAR25(VAR25[1]), .VAR33(VAR38), .VAR54(VAR54[1])
);
reg [31:0] VAR8;
always@(posedge VAR4)
if(VAR33)
VAR8 <= VAR62;
wire [17:0] VAR13;
wire VAR87, VAR18;
wire VAR65;
reg VAR56;
reg [15:0] VAR14;
always@(*) begin
if(VAR41 & (VAR25[0] && VAR33))
VAR14 = VAR62[15:0];
end
else if(VAR41 & (VAR25[1] && VAR38))
VAR14 = VAR8[31:16];
else if(VAR25[0])
VAR14 = VAR54[0];
else
VAR14 = VAR54[1];
end
assign VAR13[17] = VAR56;
assign VAR13[16] = VAR33;
assign VAR13[15:0] = VAR14;
assign VAR65 = |VAR25 & VAR27;
wire VAR55;
always@(posedge VAR4) begin
if(VAR52)
VAR17 <= 0;
end
else if (VAR55 && VAR65 && VAR17 != -1)
VAR17 <= VAR17 +1;
end
always@(posedge VAR4) begin
if(VAR52)
VAR56 <= 0;
end
else if (VAR65) begin
if(VAR55)
VAR56 <= 1;
end
else
VAR56 <= 0;
end
end
wire [17:0] VAR47;
VAR31 #(.VAR66(18), .VAR36(3)) VAR21
(
.VAR60(VAR47),
.VAR55(VAR55),
.VAR48(VAR18),
.VAR45(VAR13),
.VAR67(VAR65), .VAR59(VAR4), .VAR44(VAR52),
.VAR43(!VAR87), .VAR70(VAR22), .VAR42(VAR75)
);
VAR82 #(.VAR16(18), .VAR84(1024)) VAR39
( .clk(VAR22), .reset(VAR75),
.write(!VAR18),
.read(VAR2),
.VAR10(VAR47),
.VAR46(VAR87),
.VAR51(VAR85),
.VAR83(VAR7[17:0]), .VAR30()
);
assign VAR7[19:18] = 0;
assign VAR7[23:20] = VAR23[3:0];
assign VAR7[31:24] = VAR50[7:0];
assign VAR35 = VAR17 != 0;
endmodule
|
bsd-3-clause
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/xor3/sky130_fd_sc_ms__xor3.behavioral.v
| 1,406 |
module MODULE1 (
VAR8,
VAR10,
VAR6,
VAR9
);
output VAR8;
input VAR10;
input VAR6;
input VAR9;
supply1 VAR1;
supply0 VAR4;
supply1 VAR5 ;
supply0 VAR11 ;
wire VAR3;
xor VAR7 (VAR3, VAR10, VAR6, VAR9 );
buf VAR2 (VAR8 , VAR3 );
endmodule
|
apache-2.0
|
cafe-alpha/wascafe
|
v13/wasca_10m08scv4k_no_spi_20190420/wasca/synthesis/submodules/wasca_mm_interconnect_0_avalon_st_adapter_006.v
| 6,161 |
module MODULE1 #(
parameter VAR19 = 18,
parameter VAR10 = 0,
parameter VAR22 = 18,
parameter VAR23 = 0,
parameter VAR21 = 0,
parameter VAR20 = 0,
parameter VAR6 = 1,
parameter VAR18 = 1,
parameter VAR17 = 0,
parameter VAR24 = 18,
parameter VAR3 = 0,
parameter VAR2 = 1,
parameter VAR16 = 0,
parameter VAR14 = 1,
parameter VAR25 = 1,
parameter VAR8 = 0
) (
input wire VAR5, input wire VAR11, input wire [17:0] VAR1, input wire VAR7, output wire VAR12, output wire [17:0] VAR15, output wire VAR9, input wire VAR13, output wire [0:0] VAR4 );
generate
if (VAR19 != 18)
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
|
gpl-2.0
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.