repo_name
stringlengths 6
79
| path
stringlengths 4
249
| size
int64 1.02k
768k
| content
stringlengths 15
207k
| license
stringclasses 14
values |
---|---|---|---|---|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/sedfxtp/sky130_fd_sc_hs__sedfxtp.functional.pp.v
| 1,966 |
module MODULE1 (
VAR2 ,
VAR18 ,
VAR14 ,
VAR15 ,
VAR8 ,
VAR10 ,
VAR12,
VAR1
);
output VAR2 ;
input VAR18 ;
input VAR14 ;
input VAR15 ;
input VAR8 ;
input VAR10 ;
input VAR12;
input VAR1;
wire VAR9 ;
wire VAR11;
wire VAR17 ;
VAR16 VAR4 (VAR11, VAR17, VAR8, VAR10 );
VAR16 VAR5 (VAR17 , VAR9, VAR14, VAR15 );
VAR3 VAR7 VAR6 (VAR9 , VAR11, VAR18, VAR12, VAR1);
buf VAR13 (VAR2 , VAR9 );
endmodule
|
apache-2.0
|
ShepardSiegel/ocpi
|
coregen/ddr3_s4_uniphy/ddr3_s4_uniphy_example_design/example_project/ddr3_s4_uniphy_example/submodules/ddr3_s4_uniphy_example_if0_p0_iss_probe.v
| 1,733 |
module MODULE1 (
VAR17
);
parameter VAR26 = 1;
parameter VAR4 = "VAR27";
input [VAR26-1:0] VAR17;
VAR32 VAR6 (
.VAR15 (VAR17),
.VAR10 ()
,
.VAR31 (),
.VAR24 (),
.VAR16 (),
.VAR5 (),
.VAR29 (),
.VAR25 (),
.VAR13 (),
.VAR12 (),
.VAR19 (),
.VAR18 (),
.VAR3 (),
.VAR8 (),
.VAR14 (),
.VAR22 (),
.VAR33 (),
.VAR2 (),
.VAR34 ()
);
VAR6.VAR20 = "VAR1",
VAR6.VAR7 = VAR4,
VAR6.VAR23 = VAR26,
VAR6.VAR11 = "VAR28",
VAR6.VAR9 = 0,
VAR6.VAR30 = "0",
VAR6.VAR21 = 0;
endmodule
|
lgpl-3.0
|
SiLab-Bonn/monopix_daq
|
firmware/src/monopix_core.v
| 12,402 |
module MODULE1 (
input wire VAR97,
inout wire [7:0] VAR96,
input wire [15:0] VAR43,
input wire VAR124,
input wire VAR128,
input wire VAR15,
input wire VAR67,
input wire VAR179,
input wire VAR140,
input wire VAR142,
input wire VAR138,
input wire VAR44,
output wire VAR99,
output wire [31:0] VAR21,
input wire VAR145,
input wire VAR114,
output wire [4:0] VAR149,
input wire [2:0] VAR109,
output wire [2:0] VAR120, input wire VAR161,
input wire VAR10,
input wire VAR98, output wire VAR71, output wire VAR148, output wire VAR121, output wire VAR131, output wire VAR18, output wire VAR34, output wire VAR102,
input wire VAR12,
output wire VAR41, output wire VAR46, output wire VAR86, output wire VAR3, output wire VAR26, output wire VAR171, output wire VAR83, output wire VAR94, output wire VAR76, input wire VAR105, input wire VAR73, input wire VAR141,
input wire VAR2,
output wire VAR58 );
localparam VAR186 = 16'h0010;
localparam VAR80 = 16'h0100-1;
localparam VAR151 = 16'h4000;
localparam VAR42 = 16'h5000-1;
localparam VAR82 = 16'h0400;
localparam VAR129 = 16'h0500-1;
localparam VAR38 = 16'h0500;
localparam VAR108 = 16'h0600-1;
localparam VAR117 = 16'h0600;
localparam VAR150 = 16'h0700-1;
localparam VAR45 = 16'h0700;
localparam VAR57 = 16'h0800-1;
localparam VAR104 = 16'h0800;
localparam VAR118 = 16'h0900-1;
localparam VAR162 = 16'h0900;
localparam VAR173 = 16'h0a00-1;
localparam VAR170 = 16'h0a00;
localparam VAR175 = 16'h0b00-1;
localparam VAR125 = 16'h5000;
localparam VAR65 = 16'h8000-1;
localparam VAR130 = 16'h8000;
localparam VAR136 = 16'h9000-2;
localparam VAR155 = 8'h03;
reg VAR78;
always@(posedge VAR97)
if(VAR43 == 16'h0000 && VAR124)
VAR78 <= 1;
else
VAR78 <= 0;
assign VAR96 = (VAR78) ? VAR155 : 8'VAR14;
wire [15:0] VAR55;
VAR184
.VAR5(VAR186),
.VAR24(VAR80),
.VAR13(16),
.VAR158(16'h7fff)
) VAR184
(
.VAR97(VAR97),
.VAR15(VAR15),
.VAR43(VAR43),
.VAR96(VAR96[7:0]),
.VAR124(VAR124),
.VAR128(VAR128),
.VAR197(VAR55)
);
wire VAR68, VAR116, VAR122, VAR156, VAR110, VAR69, VAR95;
wire VAR35, VAR134, VAR111, VAR168;
reg VAR4;
assign VAR68 = VAR55[0];
assign VAR116 = VAR55[1];
assign VAR122 = VAR55[2];
assign VAR156 = VAR55[3];
assign VAR110 = VAR55[4];
assign VAR69 = VAR55[5];
assign VAR95 = VAR55[6];
assign VAR35 = VAR55[7];
assign VAR134 = VAR55[8];
assign VAR111 = VAR55[9];
assign VAR168 = VAR55[10];
assign VAR55[15]=VAR4;
wire VAR127;
assign VAR127 = VAR67;
wire VAR39, VAR53, VAR191, VAR163, VAR144;
VAR49
.VAR5(VAR125),
.VAR24(VAR65),
.VAR90(1024)
) VAR7
(
.VAR97(VAR97),
.VAR15(VAR15),
.VAR43(VAR43),
.VAR96(VAR96[7:0]),
.VAR124(VAR124),
.VAR128(VAR128),
.VAR36(VAR127),
.VAR39(VAR39),
.VAR53(VAR53),
.VAR191(VAR191),
.VAR163(VAR163),
.VAR144(VAR144)
);
assign VAR121 = VAR39;
assign VAR71 = VAR53;
assign VAR191 = VAR98;
assign VAR148 = VAR144 & VAR122;
assign VAR131 = VAR144 & VAR116;
reg [3:0] VAR137;
always@(posedge VAR127)
if(VAR15)
VAR137 <= 0;
else if(VAR163)
VAR137 <= 4'b1111;
else if(VAR137 != 0)
VAR137 <= VAR137 - 1;
assign VAR18 = VAR156 ? !((VAR163 | (|VAR137))) : 0;
wire VAR135;
wire VAR157;
VAR143
.VAR5(VAR151),
.VAR24(VAR42),
.VAR139(16),
.VAR60(4),
.VAR100(2)
) VAR181 (
.VAR97(VAR97),
.VAR15(VAR15),
.VAR43(VAR43),
.VAR96(VAR96[7:0]),
.VAR124(VAR124),
.VAR128(VAR128),
.VAR187(VAR138),
.VAR74(VAR142),
.VAR112(VAR179),
.VAR33(VAR135),
.VAR88({VAR157,VAR102}),
.VAR58(VAR58)
);
VAR27
.VAR5(VAR151),
.VAR24(VAR42)
) VAR181(
.VAR97(VAR97),
.VAR15(VAR15),
.VAR43(VAR43),
.VAR96(VAR96[7:0]),
.VAR124(VAR124),
.VAR128(VAR128),
.VAR112(VAR179),
.VAR33(VAR135),
.VAR88(VAR102)
);
assign VAR157 = VAR102;
VAR27
.VAR5(VAR82),
.VAR24(VAR129)
) VAR188
(
.VAR97(VAR97),
.VAR15(VAR15),
.VAR43(VAR43),
.VAR96(VAR96[7:0]),
.VAR124(VAR124),
.VAR128(VAR128),
.VAR112(VAR179),
.VAR33(1'b0),
.VAR88(VAR135)
);
wire VAR201, VAR1;
wire [31:0] VAR8;
wire VAR126;
wire VAR11;
wire [31:0] VAR182;
wire VAR25;
wire VAR199;
wire [31:0] VAR89;
assign VAR199 = 1;
wire VAR196,VAR93,VAR101;
wire [31:0] VAR132;
wire VAR103,VAR77;
wire [31:0] VAR154;
wire VAR30,VAR37;
wire [31:0] VAR16;
wire VAR19,VAR81;
wire [31:0] VAR183;
wire VAR166,VAR190;
wire [31:0] VAR64;
wire VAR6,VAR160;
wire [31:0] VAR54;
VAR147
.VAR51(7)
) VAR147
(
.VAR159(VAR15),
.VAR23(VAR97),
.VAR47({ ~VAR160,~VAR81,~VAR190,
~VAR77,~VAR1, ~VAR37, ~VAR93}),
.VAR123({7'b0}),
.VAR200({VAR54, VAR183, VAR64,
VAR154, VAR8, VAR16, VAR132}),
.VAR50({VAR6,VAR19,VAR166,
VAR103, VAR201, VAR30, VAR196}),
.VAR185(VAR44),
.VAR115(VAR99),
.VAR62(VAR21)
);
wire VAR17,VAR87;
wire VAR195,VAR177;
assign VAR195 = VAR177;
wire [64:0] VAR61;
VAR40 #(
.VAR5(VAR117),
.VAR24(VAR150),
.VAR91(8),
.VAR174(64)
) VAR9 (
.VAR97(VAR97),
.VAR15(VAR15),
.VAR43(VAR43),
.VAR96(VAR96),
.VAR124(VAR124),
.VAR128(VAR128),
.VAR70(VAR179),
.VAR113(VAR196),
.VAR165(VAR93),
.VAR180(VAR132),
.VAR48(),
.VAR198({8'b0}),
.VAR146({7'b0,VAR145}),
.VAR63(VAR195),
.VAR177(VAR177),
.VAR29(VAR10),
.VAR84(1'b0),
.VAR17(VAR17),
.VAR87(VAR87),
.VAR61(VAR61)
);
VAR79
.VAR5(VAR45),
.VAR24(VAR57),
.VAR56(4'b0100)
)VAR32(
.VAR97(VAR97),
.VAR43(VAR43),
.VAR96(VAR96),
.VAR15(VAR15),
.VAR128(VAR128),
.VAR124(VAR124),
.VAR138(VAR138),
.VAR142(VAR142),
.VAR179(VAR179),
.VAR153(VAR109[1]),
.VAR75(VAR61),
.VAR20(1'b1),
.VAR113(VAR103),
.VAR165(VAR77),
.VAR180(VAR154)
);
VAR79
.VAR5(VAR162),
.VAR24(VAR173),
.VAR56(4'b0101)
)VAR167(
.VAR97(VAR97),
.VAR43(VAR43),
.VAR96(VAR96),
.VAR15(VAR15),
.VAR128(VAR128),
.VAR124(VAR124),
.VAR138(VAR138),
.VAR142(VAR142),
.VAR179(VAR179),
.VAR153(VAR2),
.VAR75(VAR61),
.VAR20(VAR135),
.VAR113(VAR6),
.VAR165(VAR160),
.VAR180(VAR54)
);
VAR79
.VAR5(VAR170),
.VAR24(VAR175),
.VAR56(4'b0110)
)VAR194(
.VAR97(VAR97),
.VAR43(VAR43),
.VAR96(VAR96),
.VAR15(VAR15),
.VAR128(VAR128),
.VAR124(VAR124),
.VAR138(VAR138),
.VAR142(VAR142),
.VAR179(VAR179),
.VAR153(VAR12),
.VAR75(VAR61),
.VAR20(1'b1),
.VAR113(VAR19),
.VAR165(VAR81),
.VAR180(VAR183),
.VAR189(VAR166),
.VAR72(VAR190),
.VAR92(VAR64)
);
VAR79
.VAR5(VAR104),
.VAR24(VAR118),
.VAR56(4'b0111)
)VAR107(
.VAR97(VAR97),
.VAR43(VAR43),
.VAR96(VAR96),
.VAR15(VAR15),
.VAR128(VAR128),
.VAR124(VAR124),
.VAR138(VAR138),
.VAR142(VAR142),
.VAR179(VAR179),
.VAR153(VAR161),
.VAR75(VAR61),
.VAR20(~VAR17),
.VAR113(VAR30),
.VAR165(VAR37),
.VAR180(VAR16)
);
VAR52 #(
.VAR5(VAR38),
.VAR24(VAR108),
.VAR106(2'b00)
) VAR52 (
.VAR97(VAR97),
.VAR15(VAR15),
.VAR43(VAR43),
.VAR96(VAR96),
.VAR124(VAR124),
.VAR128(VAR128),
.VAR41(VAR179),
.VAR28(VAR105),
.VAR172(VAR141),
.VAR178(~VAR179),
.VAR169(VAR46),
.VAR152(VAR86),
.VAR61(VAR61),
.VAR113(VAR201),
.VAR165(VAR1),
.VAR180(VAR8),
.VAR31()
);
VAR133 VAR22(.VAR164(VAR110), .VAR119(1'b0), .VAR176(VAR179), .VAR85(1'b1), .VAR59(1'b0), .VAR193(1'b0), .VAR192(VAR41) );
assign VAR76 = VAR69 ? VAR179 : 1'b0;
reg VAR66;
assign VAR3 = VAR66;
always@(negedge VAR179)
VAR66 <= !VAR68;
assign VAR171 = VAR4;
always@(negedge VAR179) begin
if (VAR168==1 && VAR61[8:0]==9'h1F0)
VAR4 <= VAR95;
end
else if (VAR168==0)
VAR4 <= VAR95;
end
assign VAR26 = VAR35;
assign VAR83 = VAR134;
assign VAR94 = VAR111;
assign VAR34 = 0;
assign VAR149[0] = 0;
assign VAR149[1] = 0;
assign VAR149[2] = 1;
assign VAR149[3] = 0;
assign VAR149[4] = 0;
assign VAR120[0] = VAR87; assign VAR120[1] = VAR17; assign VAR120[2] = VAR157;
endmodule
|
gpl-2.0
|
BilkentCompGen/GateKeeper
|
FPGA_Application_v2/VC709_Gen3x4If128/GateKeeper.srcs/riffa/registers.v
| 26,627 |
module MODULE1
parameter VAR187 = 12,
parameter VAR31 = 512, parameter VAR12 = "VAR8",
parameter VAR142 = 2,
parameter VAR185 = 32,
parameter VAR39 = "VAR73",
parameter VAR84= 1,
parameter VAR82= 1)
(
input VAR184,
input VAR93,
input [VAR83-1:0] VAR192,
input VAR90,
input VAR148,
input [VAR40(VAR83/32)-1:0] VAR80,
input [VAR87-1:0] VAR200,
input VAR91,
input [VAR40(VAR83/32)-1:0] VAR178,
input [VAR1-1:0] VAR16,
input [VAR22-1:0] VAR62,
input [VAR102-1:0] VAR157,
input [VAR41-1:0] VAR175,
input [VAR163-1:0] VAR109,
input [VAR74-1:0] VAR191,
input [VAR115-1:0] VAR30,
input [VAR60-1:0] VAR36,
input [VAR149-1:0] VAR183,
output VAR133,
output [VAR83-1:0] VAR85,
output VAR145,
output [VAR40(VAR83/32)-1:0] VAR125,
output VAR9,
output [VAR40(VAR83/32)-1:0] VAR86,
input VAR78,
output VAR92,
output [VAR87-1:0] VAR176,
output [VAR1-1:0] VAR161,
output [VAR144-1:0] VAR122,
output [VAR163-1:0] VAR181,
output [VAR149-1:0] VAR10,
output [VAR43-1:0] VAR107,
output [VAR41-1:0] VAR139,
output [VAR60-1:0] VAR19,
output [VAR22-1:0] VAR198,
output [VAR102-1:0] VAR101,
output VAR194,
input VAR113,
output [31:0] VAR71,
output [VAR187-1:0] VAR26,
output [VAR187-1:0] VAR66,
output [VAR187-1:0] VAR146,
output [VAR187-1:0] VAR173,
output [VAR187-1:0] VAR75,
output [VAR187-1:0] VAR57,
output [VAR187-1:0] VAR98,
output [VAR187-1:0] VAR110,
input [(VAR32*VAR187)-1:0] VAR88,
input [(VAR195*VAR187)-1:0] VAR186,
input [(VAR188*VAR187)-1:0] VAR49,
input [(VAR138*VAR187)-1:0] VAR15,
input [VAR174-1:0] VAR29,
output [VAR187-1:0] VAR197,
output [VAR187-1:0] VAR103,
output VAR59,
output [VAR142-1:0] VAR33,
output [VAR187-1:0] VAR34,
output [VAR187-1:0] VAR37,
output VAR94,
input [VAR142*VAR185-1:0] VAR165
);
localparam VAR135 = 256;
localparam VAR180 = (32*VAR135)/VAR83;
localparam VAR120 = 32;
localparam VAR159 = 4;
localparam VAR171 = VAR84 > 0 ? 1:0;
localparam VAR121 = VAR82 > 0 ? 1:0;
localparam VAR27 = VAR83 + 2*(1 + VAR134(VAR83/32) + VAR87) + VAR144 + VAR163 + VAR149 + VAR43 + VAR41 + VAR60 + VAR22 + VAR102 + 1;
localparam VAR96 = VAR83 + 2*(1 + VAR134(VAR83/32) + VAR87) + VAR74 + VAR163 + VAR149 + VAR41 + VAR60 + VAR22 + VAR102;
wire [31:0] VAR128[VAR135-1:0];
wire [32*VAR135-1:0] VAR128;
wire [VAR83-1:0] VAR128[VAR180-1:0];
wire [VAR83-1:0] VAR166;
wire VAR4;
wire VAR76;
wire [VAR40(VAR83/32)-1:0] VAR117;
wire [VAR87-1:0] VAR65;
wire VAR17;
wire [VAR40(VAR83/32)-1:0] VAR141;
wire [VAR1-1:0] VAR104;
wire [VAR22-1:0] VAR7;
wire [VAR102-1:0] VAR18;
wire [VAR41-1:0] VAR54;
wire [VAR163-1:0] VAR58;
wire [VAR74-1:0] VAR136;
wire [VAR60-1:0] VAR168;
wire [VAR149-1:0] VAR108;
wire [VAR83-1:0] VAR95;
wire VAR151;
wire VAR201;
wire [VAR40(VAR83/32)-1:0] VAR70;
wire [VAR87-1:0] VAR56;
wire VAR156;
wire [VAR40(VAR83/32)-1:0] VAR53;
wire [VAR1-1:0] VAR21;
wire [VAR144-1:0] VAR123;
wire [VAR163-1:0] VAR179;
wire [VAR149-1:0] VAR2;
wire [VAR43-1:0] VAR153;
wire [VAR41-1:0] VAR52;
wire [VAR60-1:0] VAR42;
wire [VAR22-1:0] VAR5;
wire [VAR102-1:0] VAR116;
wire VAR154;
wire VAR147;
wire [VAR40(VAR187)-1:0] VAR89;
wire [VAR159-1:0] VAR81;
wire [(1<<VAR159)-1:0] VAR189;
wire [VAR187-1:0] VAR131;
wire [VAR187-1:0] VAR45;
wire [VAR187-1:0] VAR177;
wire [VAR187-1:0] VAR99;
wire [VAR187-1:0] VAR126;
wire [VAR187-1:0] VAR190;
wire [VAR187-1:0] VAR155;
wire [VAR187-1:0] VAR68;
wire [VAR187-1:0] VAR100;
wire [VAR187-1:0] VAR150;
wire [VAR174-1:0] VAR199;
wire VAR20;
wire [VAR142 - 1 : 0] VAR152;
wire [VAR187-1:0] VAR69;
wire [VAR187-1:0] VAR51;
wire VAR193;
wire [31:0] VAR6;
genvar addr;
genvar VAR11;
genvar VAR97;
assign VAR89 = VAR136[(VAR159 + 2) +:VAR40(VAR187)];
assign VAR81 = VAR136[2 +: VAR159];
assign VAR6[31:0] = VAR166[32*VAR117 +: 32];
assign VAR128[VAR24] = VAR29;
assign VAR128[VAR48] = VAR165[VAR185*0 +: VAR185];
assign VAR128[VAR169] = VAR165[VAR185*1 +: VAR185];
assign VAR128[VAR64] = {" ",VAR39};
assign VAR95 = {{(VAR83-32){1'b0}},VAR128[{VAR89,VAR81}]};
assign VAR151 = VAR4 & VAR58 == VAR77;
assign VAR201 = 1;
assign VAR70 = 0;
assign VAR56 = 4'b1111;
assign VAR156 = 1;
assign VAR53 = 0;
assign VAR21 = 4'b0000;
assign VAR123 = VAR136[VAR144-1:0];
assign VAR179 = VAR118;
assign VAR2 = 1;
assign VAR153 = 4;
assign VAR52 = VAR54;
assign VAR42 = VAR168;
assign VAR5 = VAR7;
assign VAR116 = VAR18;
assign VAR154 = 0;
generate
for(VAR11 = 0; VAR11 < VAR187 ; VAR11 = VAR11 + 1) begin : VAR106
assign VAR128[{VAR11[27:0] , VAR55}] = VAR88[32*VAR11 +: 32];
assign VAR128[{VAR11[27:0] , VAR67}] = VAR186[32*VAR11 +: 32];
assign VAR128[{VAR11[27:0] , VAR3}] = VAR15[32*VAR11 +: 32];
assign VAR128[{VAR11[27:0] , VAR38}] = VAR49[32*VAR11 +: 32];
end
for(addr = 0 ; addr < VAR135 ; addr = addr + 1) begin : VAR106
assign VAR128[(addr*32) +: 32] = VAR128[addr];
end
for(addr = 0 ; addr < VAR180 ; addr = addr + 1) begin : VAR106
assign VAR128[addr] = VAR128[(addr*VAR83) +: VAR83];
end
endgenerate
assign VAR193 = VAR189[VAR64];
assign VAR20 = VAR189[VAR24];
assign VAR152[0] = VAR189[VAR48];
assign VAR152[1] = VAR189[VAR169];
assign VAR92 = VAR133;
VAR158
.VAR130 (VAR121),
.VAR23 (VAR96),
.VAR79 (0)
)
VAR129
( .VAR162 (), .VAR137 ({VAR166,
VAR76, VAR117, VAR65,
VAR17, VAR141, VAR104,
VAR136, VAR58, VAR108,
VAR54, VAR168, VAR7, VAR18}),
.VAR114 (VAR4),
.VAR111 ({VAR192,
VAR148, VAR80, VAR200,
VAR91, VAR178, VAR16,
VAR191, VAR109, VAR183,
VAR175, VAR36, VAR62, VAR157}),
.VAR63 (VAR90),
.VAR119 (1),
.VAR184 (VAR184),
.VAR93 (VAR93));
demux
.VAR13 (1<<VAR159),
.VAR23 (1)
)
VAR47
(
.VAR137 (VAR189),
.VAR111 (VAR4),
.VAR172 (VAR81)
);
demux
.VAR13 (VAR187),
.VAR23 (1)
)
VAR143
(
.VAR137 (VAR100),
.VAR111 (VAR189[VAR55]),
.VAR172 (VAR89)
);
demux
.VAR13 (VAR187),
.VAR23 (1)
)
VAR124
(
.VAR137 (VAR150),
.VAR111 (VAR189[VAR67]),
.VAR172 (VAR89)
);
demux
.VAR13 (VAR187),
.VAR23 (1)
)
VAR50
(
.VAR137 (VAR51),
.VAR111 (VAR189[VAR3]),
.VAR172 (VAR89)
);
demux
.VAR13 (VAR187),
.VAR23 (1)
)
VAR164
(
.VAR137 (VAR69),
.VAR111 (VAR189[VAR38]),
.VAR172 (VAR89)
);
demux
.VAR13 (VAR187),
.VAR23 (1)
)
VAR112
(
.VAR137 (VAR155),
.VAR111 (VAR189[VAR44]),
.VAR172 (VAR89)
);
demux
.VAR13 (VAR187),
.VAR23 (1)
)
VAR132
(
.VAR137 (VAR68),
.VAR111 (VAR189[VAR170]),
.VAR172 (VAR89)
);
demux
.VAR13 (VAR187),
.VAR23 (1)
)
VAR35
(
.VAR137 (VAR190),
.VAR111 (VAR189[VAR25]),
.VAR172 (VAR89)
);
demux
.VAR13 (VAR187),
.VAR23 (1)
)
VAR196
(
.VAR137 (VAR126),
.VAR111 (VAR189[VAR160]),
.VAR172 (VAR89)
);
demux
.VAR13 (VAR187),
.VAR23 (1)
)
VAR105
(
.VAR137 (VAR99),
.VAR111 (VAR189[VAR28]),
.VAR172 (VAR89)
);
demux
.VAR13 (VAR187),
.VAR23 (1)
)
VAR167
(
.VAR137 (VAR177),
.VAR111 (VAR189[VAR46]),
.VAR172 (VAR89)
);
demux
.VAR13 (VAR187),
.VAR23 (1)
)
VAR61
(
.VAR137 (VAR45),
.VAR111 (VAR189[VAR127]),
.VAR172 (VAR89)
);
demux
.VAR13 (VAR187),
.VAR23 (1)
)
VAR182
(
.VAR137 (VAR131),
.VAR111 (VAR189[VAR72]),
.VAR172 (VAR89)
);
VAR158
.VAR130 (VAR171),
.VAR23 (12*VAR187 + VAR142 + 2 + 32),
.VAR79 (0)
)
VAR140
(
.VAR162 (), .VAR137 ({VAR197, VAR103, VAR59,
VAR33, VAR34, VAR37,
VAR94,VAR26, VAR66, VAR146,
VAR173, VAR75, VAR57,
VAR98, VAR110,
VAR71}),
.VAR114 (),
.VAR111 ({VAR100, VAR150, VAR20,
VAR152, VAR69, VAR51,
VAR193,VAR131,VAR45,VAR177,
VAR99,VAR126,VAR190,
VAR155,VAR68,
VAR6}),
.VAR63 (1),
.VAR119 (1),
.VAR184 (VAR184),
.VAR93 (VAR93));
VAR158
.VAR130 (VAR171),
.VAR23 (VAR27),
.VAR79 (0)
)
VAR14
(
.VAR162 (), .VAR137 ({VAR85,
VAR145, VAR125, VAR176,
VAR9, VAR86, VAR161,
VAR122, VAR181, VAR10, VAR107,
VAR139, VAR19, VAR198, VAR101,
VAR194}),
.VAR114 (VAR133),
.VAR111 ({VAR95,
VAR201, VAR70, VAR56,
VAR156, VAR53, VAR21,
VAR123, VAR179, VAR2, VAR153,
VAR52, VAR42, VAR5, VAR116,
VAR154}),
.VAR63 (VAR151),
.VAR119 (VAR78),
.VAR184 (VAR184),
.VAR93 (VAR93));
endmodule
|
gpl-3.0
|
olajep/oh
|
src/common/hdl/oh_oddr.v
| 1,198 |
module MODULE1 #(parameter VAR1 = 1) (
input clk, input [VAR1-1:0] VAR2, input [VAR1-1:0] VAR3, output [VAR1-1:0] out );
reg [VAR1-1:0] VAR4;
reg [VAR1-1:0] VAR6;
reg [VAR1-1:0] VAR5;
always @ (posedge clk)
begin
VAR4[VAR1-1:0] <= VAR2[VAR1-1:0];
VAR6[VAR1-1:0] <= VAR3[VAR1-1:0];
end
always @ (negedge clk)
VAR5[VAR1-1:0] <= VAR6[VAR1-1:0];
assign out[VAR1-1:0] = clk ? VAR4[VAR1-1:0] :
VAR5[VAR1-1:0];
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/tap/sky130_fd_sc_ms__tap.blackbox.v
| 1,208 |
module MODULE1 ();
supply1 VAR1;
supply0 VAR4;
supply1 VAR3 ;
supply0 VAR2 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/sdfxbp/sky130_fd_sc_hdll__sdfxbp.pp.blackbox.v
| 1,406 |
module MODULE1 (
VAR1 ,
VAR9 ,
VAR4 ,
VAR7 ,
VAR3 ,
VAR2 ,
VAR6,
VAR8,
VAR10 ,
VAR5
);
output VAR1 ;
output VAR9 ;
input VAR4 ;
input VAR7 ;
input VAR3 ;
input VAR2 ;
input VAR6;
input VAR8;
input VAR10 ;
input VAR5 ;
endmodule
|
apache-2.0
|
takeshineshiro/fpga_linear_128
|
DynamicFocus_bb.v
| 5,130 |
module MODULE1 (
address,
VAR2,
VAR1);
input [14:0] address;
input VAR2;
output [7:0] VAR1;
endmodule
|
mit
|
aquaxis/FPGAMAG18
|
fmrv32im-artya7.madd33/fmrv32im-artya7.srcs/sources_1/bd/fmrv32im_artya7/hdl/fmrv32im_artya7_wrapper.v
| 1,118 |
module MODULE1
(VAR3,
VAR9,
VAR2,
VAR8,
VAR6,
VAR10);
input VAR3;
output [31:0]VAR9;
input VAR2;
output VAR8;
input [31:0]VAR6;
output [31:0]VAR10;
wire VAR3;
wire [31:0]VAR9;
wire VAR2;
wire VAR8;
wire [31:0]VAR6;
wire [31:0]VAR10;
VAR5 VAR7
(.VAR3(VAR3),
.VAR1(VAR6),
.VAR4(VAR10),
.VAR9(VAR9),
.VAR2(VAR2),
.VAR8(VAR8));
endmodule
|
mit
|
asicguy/gplgpu
|
hdl/vga/memif_toplevel.v
| 21,170 |
module MODULE1
(
input VAR115,
input VAR27,
input VAR34,
input VAR90,
input VAR80,
input VAR118,
input VAR59,
input VAR113,
input VAR117,
input VAR39,
input VAR56,
input VAR180,
input VAR57,
input VAR173,
input [4:0] VAR128,
input VAR50,
input VAR87,
input VAR150,
input VAR99,
input VAR78,
input VAR106,
input VAR185,
input VAR110,
input VAR17,
input VAR168,
input VAR162,
input VAR159,
input VAR62,
input [15:0] VAR133,
input VAR5,
input [19:0] VAR188,
input [7:0] VAR105,
input [3:0] VAR60,
input VAR138,
input VAR4,
input VAR69,
input VAR36,
input VAR14,
input [31:0] VAR127,
input [31:0] VAR79,
input [15:0] VAR15,
input VAR139,
input [5:0] VAR35,
input [7:0] VAR16,
input [7:0] VAR29, input VAR12, input VAR191,
input VAR98,
output [7:0] VAR32,
output [7:0] VAR46,
output [7:0] VAR112,
output [7:0] VAR193,
output [7:0] VAR28,
output [7:0] VAR184,
output [7:0] VAR183,
output [7:0] VAR161,
output [7:0] VAR85,
output [7:0] VAR177,
output [7:0] VAR51,
output [31:0] VAR63,
output [31:0] VAR104,
output reg [20:3] VAR158,
output [7:0] VAR147,
output VAR83,
output VAR131,
output [36:0] VAR43,
output VAR176,
output VAR145,
output VAR61,
output [3:0] VAR84,
output VAR45,
output VAR7,
output VAR123,
output VAR135,
output VAR23,
output VAR156,
output VAR171,
output VAR82,
output VAR37,
output VAR107,
output VAR175,
output VAR170,
output VAR38,
output VAR192,
output VAR77,
output VAR94,
output VAR111,
output VAR41,
output VAR143,
output VAR55
);
wire VAR2;
wire VAR89;
wire VAR71;
wire VAR178;
wire VAR73;
wire VAR140;
wire VAR76;
wire VAR92;
wire VAR166;
wire VAR108;
wire VAR20;
wire VAR126;
wire VAR81;
wire VAR22;
wire [2:0] VAR21;
wire VAR136;
wire VAR68;
wire VAR102;
wire VAR144;
wire VAR64;
wire VAR70;
wire VAR130;
wire VAR67;
wire VAR47;
wire VAR124;
wire VAR26;
wire VAR167;
wire VAR97;
wire VAR1;
wire VAR18;
wire VAR152;
wire VAR149;
wire VAR25;
wire VAR10;
wire VAR194;
wire VAR86;
wire VAR114;
wire VAR49;
wire VAR169;
wire VAR24;
wire VAR129;
wire VAR58;
wire VAR137;
wire VAR120;
wire VAR116;
wire [4:0] VAR65;
wire VAR181;
wire VAR148;
wire VAR153;
wire VAR100;
wire VAR179;
wire VAR122;
wire VAR165;
wire [8:0] VAR54;
wire VAR163;
wire VAR190;
wire VAR8;
wire VAR103;
wire VAR155;
wire VAR132;
wire VAR101;
wire VAR172;
wire VAR13;
wire VAR109;
wire VAR182;
wire [31:0] VAR95;
wire [7:0] VAR186;
wire [19:0] VAR30;
wire [19:0] VAR142;
wire [19:0] VAR157;
wire VAR44;
wire VAR174;
wire VAR88;
wire VAR9;
wire VAR134;
wire VAR72;
wire VAR141;
wire VAR119;
wire VAR19;
assign VAR104 = VAR95;
assign VAR147 = VAR186;
always @*
casex ({VAR132, VAR101, (VAR81 | VAR102),
(VAR22 & VAR191)}) 4'VAR52: VAR158 = VAR188[17:0];
4'VAR96: VAR158 = VAR157[17:0];
4'VAR91: VAR158 = VAR30[17:0];
4'b0001: VAR158 = VAR142[17:0];
default: VAR158 = 18'b0;
endcase
VAR42 VAR6
(
.VAR138 (VAR138),
.VAR36 (VAR36),
.VAR14 (VAR14),
.VAR80 (VAR80),
.VAR5 (VAR5),
.VAR168 (VAR168),
.VAR17 (VAR17),
.VAR41 (VAR41),
.VAR90 (VAR90),
.VAR115 (VAR115),
.VAR48 (VAR27),
.VAR2 (VAR2),
.VAR89 (VAR89),
.VAR127 (VAR127),
.VAR63 (VAR63),
.VAR38 (VAR38),
.VAR192 (VAR192),
.VAR111 (VAR111),
.VAR132 (VAR132)
);
VAR3 VAR164
(
.VAR41 (VAR41),
.VAR168 (VAR168),
.VAR71 (VAR71),
.VAR178 (VAR178),
.VAR90 (VAR90),
.VAR48 (VAR27),
.VAR73 (VAR73),
.VAR140 (VAR140),
.VAR188 (VAR188),
.VAR105 (VAR105),
.VAR186 (VAR186),
.VAR157 (VAR157),
.VAR95 (VAR95),
.VAR138 (VAR138),
.VAR79 (VAR79),
.VAR176 (VAR176),
.VAR174 (VAR174),
.VAR101 (VAR101),
.VAR109 (VAR109)
);
VAR33 VAR93
(
.VAR182 (VAR182),
.VAR44 (VAR181),
.VAR168 (VAR168),
.VAR92 (VAR92),
.VAR90 (VAR90),
.VAR48 (VAR27),
.VAR166 (VAR166),
.VAR70 (VAR70),
.VAR29 (VAR29),
.VAR108 (VAR108),
.VAR20 (VAR20),
.VAR126 (VAR126),
.VAR81 (VAR81),
.VAR22 (VAR22),
.VAR88 (VAR88),
.VAR122 (VAR122)
);
VAR53 VAR189
(
.VAR44 (VAR181),
.VAR168 (VAR168),
.VAR76 (VAR76),
.VAR92 (VAR92),
.VAR90 (VAR90),
.VAR48 (VAR27),
.VAR136 (VAR136),
.VAR70 (VAR70),
.VAR29 (VAR29),
.VAR12 (VAR12),
.VAR68 (VAR68),
.VAR102 (VAR102),
.VAR165 (VAR165)
);
VAR11 VAR121
(
.VAR44 (VAR181),
.VAR109 (VAR109),
.VAR115 (VAR115),
.VAR168 (VAR168),
.VAR27 (VAR27),
.VAR68 (VAR68),
.VAR20 (VAR20),
.VAR89 (VAR89),
.VAR140 (VAR140),
.VAR166 (VAR166),
.VAR144 (VAR144),
.VAR64 (VAR64),
.VAR70 (VAR70),
.VAR130 (VAR130),
.VAR83 (VAR83),
.VAR77 (VAR77)
);
VAR74 VAR75
(
.VAR172 (VAR172),
.VAR13 (VAR13),
.VAR163 (VAR163),
.VAR190 (VAR190),
.VAR8 (VAR8),
.VAR103 (VAR103),
.VAR168 (VAR168),
.VAR9 (VAR9),
.VAR130 (VAR130),
.VAR50 (VAR50),
.VAR47 (VAR47),
.VAR124 (VAR124),
.VAR26 (VAR26),
.VAR167 (VAR167),
.VAR97 (VAR97),
.VAR1 (VAR1),
.VAR18 (VAR18),
.VAR152 (VAR152),
.VAR149 (VAR149),
.VAR25 (VAR25),
.VAR136 (VAR136),
.VAR166 (VAR166),
.VAR48 (VAR27),
.VAR34 (VAR34),
.VAR87 (VAR87),
.VAR150 (VAR150),
.VAR99 (VAR99),
.VAR194 (VAR194),
.VAR86 (VAR86),
.VAR114 (VAR114),
.VAR181 (VAR181),
.VAR49 (VAR49),
.VAR169 (VAR169),
.VAR24 (VAR24),
.VAR129 (VAR129),
.VAR58 (VAR58),
.VAR137 (VAR137),
.VAR120 (VAR120),
.VAR116 (VAR116),
.VAR76 (VAR76),
.VAR178 (VAR178),
.VAR148 (VAR148),
.VAR153 (VAR153),
.VAR100 (VAR100),
.VAR179 (VAR179),
.VAR182 (VAR182)
);
VAR151 VAR187
(
.VAR44 (VAR181),
.VAR168 (VAR168),
.VAR48 (VAR27),
.VAR34 (VAR34),
.VAR87 (VAR87),
.VAR130 (VAR130),
.VAR50 (VAR50),
.VAR99 (VAR99),
.VAR126 (VAR126),
.VAR22 (VAR22),
.VAR166 (VAR166),
.VAR49 (VAR49),
.VAR169 (VAR169),
.VAR120 (VAR120),
.VAR116 (VAR116),
.VAR129 (VAR129),
.VAR24 (VAR24),
.VAR137 (VAR137),
.VAR58 (VAR58),
.VAR88 (VAR88),
.VAR10 (VAR10),
.VAR86 (VAR86),
.VAR194 (VAR194),
.VAR114 (VAR114),
.VAR47 (VAR47),
.VAR124 (VAR124),
.VAR26 (VAR26),
.VAR167 (VAR167),
.VAR97 (VAR97),
.VAR152 (VAR152),
.VAR1 (VAR1),
.VAR18 (VAR18),
.VAR25 (VAR25),
.VAR149 (VAR149),
.VAR108 (VAR108),
.VAR65 (VAR65),
.VAR43 (VAR43),
.VAR127 (VAR127),
.VAR54 (VAR54),
.VAR163 (VAR163),
.VAR190 (VAR190),
.VAR8 (VAR8),
.VAR103 (VAR103),
.VAR172 (VAR172),
.VAR13 (VAR13)
);
VAR160 VAR31
(
.VAR132 (VAR132),
.VAR101 (VAR101),
.VAR155 (VAR155),
.VAR122 (VAR122),
.VAR165 (VAR165),
.VAR168 (VAR168),
.VAR118 (VAR118),
.VAR59 (VAR59),
.VAR113 (VAR113),
.VAR117 (VAR117),
.VAR50 (VAR50),
.VAR44 (VAR181),
.VAR48 (VAR27),
.VAR34 (VAR34),
.VAR166 (VAR166),
.VAR39 (VAR39),
.VAR56 (VAR56),
.VAR180 (VAR180),
.VAR57 (VAR57),
.VAR173 (VAR173),
.VAR183 (VAR183),
.VAR161 (VAR161),
.VAR85 (VAR85),
.VAR177 (VAR177),
.VAR51 (VAR51[7:0]),
.VAR28 (VAR28),
.VAR128 (VAR128[4:0]),
.VAR54 (VAR54),
.VAR22 (VAR22),
.VAR81 (VAR81),
.VAR102 (VAR102),
.VAR130 (VAR130),
.VAR142 (VAR142),
.VAR30 (VAR30),
.VAR67 (VAR67),
.VAR9 (VAR9)
);
assign VAR134 = VAR127[7:5] == 3'b110;
assign VAR72 = VAR67 & VAR106;
assign VAR141 = (VAR127[14:12] == 3'b000) &
(VAR127[10:8] == 3'b000);
assign VAR119 = (VAR127[14:12] == 3'b000) &
(VAR127[10:8] == 3'b001) &
VAR185;
assign VAR19 = (VAR127[14:12] == 3'b111) &
(VAR127[14:12] == 3'b000);
assign VAR166 = ~VAR4;
assign VAR136 = VAR4;
assign VAR65 = (VAR166) ? {VAR134, VAR19, VAR119,
VAR141, VAR72} : VAR127[28:24];
VAR40 VAR154
(
.VAR48 (VAR27),
.VAR168 (VAR168),
.VAR178 (VAR178 & VAR98),
.VAR2 (VAR2 & VAR98),
.VAR73 (VAR73 & VAR98),
.VAR148 (VAR148),
.VAR153 (VAR153),
.VAR100 (VAR100),
.VAR179 (VAR179),
.VAR181 (VAR181),
.VAR92 (VAR92),
.VAR71 (VAR71),
.VAR41 (VAR41)
);
VAR125 VAR66
(
.VAR168 (VAR168),
.VAR162 (VAR162),
.VAR159 (VAR159),
.VAR62 (VAR62),
.VAR133 (VAR133),
.VAR15 (VAR15),
.VAR146 (VAR27),
.VAR139 (VAR139),
.VAR35 (VAR35),
.VAR16 (VAR16),
.VAR69 (VAR69),
.VAR131 (VAR131),
.VAR143 (VAR143),
.VAR55 (VAR55),
.VAR175 (VAR175),
.VAR170 (VAR170),
.VAR32 (VAR32),
.VAR46 (VAR46),
.VAR112 (VAR112),
.VAR193 (VAR193),
.VAR28 (VAR28),
.VAR184 (VAR184),
.VAR183 (VAR183), .VAR161 (VAR161),
.VAR85 (VAR85),
.VAR177 (VAR177),
.VAR51 (VAR51),
.VAR145 (VAR145),
.VAR61 (VAR61),
.VAR84 (VAR84),
.VAR45 (VAR45),
.VAR171 (VAR171),
.VAR82 (VAR82),
.VAR37 (VAR37),
.VAR107 (VAR107),
.VAR7 (VAR7),
.VAR123 (VAR123),
.VAR135 (VAR135),
.VAR23 (VAR23),
.VAR156 (VAR156),
.VAR94 (VAR94),
.VAR155 (VAR155)
);
endmodule
|
gpl-3.0
|
davidkoltak/tawas-core
|
ip/rcn/rtl/rcn_bridge.v
| 2,251 |
module MODULE1
(
input rst,
input clk,
input [68:0] VAR29,
output [68:0] VAR4,
input [68:0] VAR18,
output [68:0] VAR21
);
parameter VAR17 = 0;
parameter VAR20 = 1;
parameter VAR11 = 0;
parameter VAR27 = 1;
reg [68:0] VAR25;
reg [68:0] VAR3;
reg [68:0] VAR19;
reg [68:0] VAR10;
assign VAR4 = VAR3;
assign VAR21 = VAR10;
wire [5:0] VAR9 = VAR17;
wire [5:0] VAR14 = VAR20;
wire [23:0] VAR6 = VAR11;
wire [23:0] VAR13 = VAR27;
wire VAR12 = VAR25[68] && VAR25[67];
wire VAR24 = VAR25[68] && !VAR25[67];
wire VAR26 = ((VAR25[65:60] & VAR9) == VAR14);
wire VAR1 = ((VAR25[55:34] & VAR6[23:2]) == VAR13[23:2]);
wire VAR2 = VAR19[68] && VAR19[67];
wire VAR16 = VAR19[68] && !VAR19[67];
wire VAR28 = ((VAR19[65:60] & VAR9) == VAR14);
wire VAR8 = ((VAR19[55:34] & VAR6[23:2]) == VAR13[23:2]);
wire VAR23 = (VAR12 && !VAR1) || (VAR24 && !VAR26);
wire VAR22 = (VAR2 && VAR8) || (VAR16 && VAR28);
wire VAR15 = VAR23 || VAR22;
wire VAR5 = (VAR12 && VAR1) || (VAR24 && VAR26);
wire VAR7 = (VAR2 && !VAR8) || (VAR16 && !VAR28);
always @ (posedge clk or posedge rst)
if (rst)
begin
VAR25 <= 69'd0;
VAR3 <= 69'd0;
VAR19 <= 69'd0;
VAR10 <= 69'd0;
end
else
begin
VAR25 <= VAR29;
VAR3 <= (VAR7 && !VAR15) ? VAR19 :
(VAR5 && !VAR15) ? 69'd0 : VAR25;
VAR19 <= VAR18;
VAR10 <= (VAR5 && !VAR15) ? VAR25 :
(VAR7 && !VAR15) ? 69'd0 : VAR19;
end
endmodule
|
mit
|
Given-Jiang/Sobel_Filter_Altera_OpenCL_DE1-SoC
|
Sobel/ip/Sobel/acl_fp_convert_from_int.v
| 7,824 |
module MODULE1(VAR17, VAR55, VAR54, VAR46, enable, VAR6, VAR20, VAR44, VAR16);
parameter VAR15 = 0;
parameter VAR23 = 1;
parameter VAR39 = 0;
input VAR17, VAR55;
input [31:0] VAR54;
output [31:0] VAR46;
input enable, VAR6, VAR44;
output VAR20, VAR16;
reg VAR42;
wire VAR36;
wire VAR47;
reg VAR50;
wire VAR4;
wire VAR18;
reg VAR26;
wire VAR52;
wire VAR5;
reg VAR24;
wire VAR9;
wire VAR49;
reg VAR33;
wire VAR40;
wire VAR8;
reg [31:0] VAR28;
reg VAR25;
assign VAR47 = (VAR23 == 1) ? (~VAR42 | ~VAR36) : enable;
assign VAR16 = VAR42 & VAR36;
always@(posedge VAR17 or negedge VAR55)
begin
if (~VAR55)
begin
VAR42 <= 1'b0;
VAR25 <= 1'VAR1;
VAR28 <= 32'VAR27;
end
else if (VAR47)
begin
VAR42 <= VAR6;
if (VAR15 == 1)
begin
VAR25 <= 1'b0;
VAR28 <= VAR54;
end
else
begin
VAR25 <= VAR54[31];
VAR28 <= (VAR54 ^ {32{VAR54[31]}}) + {1'b0, VAR54[31]};
end
end
end
reg [31:0] VAR38;
reg [7:0] VAR53;
reg VAR56, VAR48;
assign VAR18 = (VAR23 == 1) ? (~VAR50 | ~VAR4) : enable;
assign VAR36 = VAR50 & VAR4;
wire VAR31 = ~(|VAR28[31:16]);
wire VAR43 = ~(|VAR28[15:0]);
always@(posedge VAR17 or negedge VAR55)
begin
if (~VAR55)
begin
VAR50 <= 1'b0;
VAR38 <= 32'VAR27;
VAR53 <= 8'VAR27;
VAR56 <= 1'VAR1;
VAR48 <= 1'VAR1;
end
else if (VAR18)
begin
VAR50 <= VAR42;
VAR56 <= VAR25;
VAR48 <= VAR31 & VAR43;
if (VAR31 & VAR43)
begin
VAR53 <= 8'd0;
VAR38 <= VAR28;
end
else if (VAR31)
begin
VAR53 <= 8'd142; VAR38 <= {VAR28[15:0], 16'd0};
end
else
begin
VAR53 <= 8'd158;
VAR38 <= VAR28;
end
end
end
reg [31:0] VAR32;
reg [7:0] VAR35;
reg VAR12, VAR3;
assign VAR5 = (VAR23 == 1) ? (~VAR26 | ~VAR52) : enable;
assign VAR4 = VAR26 & VAR52;
wire VAR2 = ~(|VAR38[31:20]);
wire VAR34 = ~(|VAR38[31:24]);
wire VAR45 = ~(|VAR38[31:28]);
reg [1:0] VAR41;
always@
begin
if (VAR29 & ~VAR3)
VAR13 = 2'd3;
end
else if (VAR10 & ~VAR3)
VAR13 = 2'd2;
else if (VAR37 & ~VAR3)
VAR13 = 2'd1;
else
VAR13 = 2'd0;
end
always@(posedge VAR17 or negedge VAR55)
begin
if (~VAR55)
begin
VAR24 <= 1'b0;
VAR51 <= 32'VAR27;
VAR21 <= 8'VAR27;
VAR11 <= 1'VAR1;
end
else if (VAR49)
begin
VAR24 <= VAR26;
VAR11 <= VAR12;
VAR21 <= VAR35 - {1'b0, VAR13};
case (VAR13)
2'b11: VAR51 <= {VAR32[28:0], 3'd0};
2'b10: VAR51 <= {VAR32[29:0], 2'd0};
2'b01: VAR51 <= {VAR32[30:0], 1'd0};
2'b00: VAR51 <= VAR32;
endcase
end
end
reg [22:0] VAR19;
reg [7:0] VAR7;
reg VAR14;
assign VAR8 = (VAR23 == 1) ? (~VAR33 | ~VAR40) : enable;
assign VAR9 = VAR33 & VAR40;
wire [3:0] VAR30 = {VAR51[8:6], |VAR51[5:0]};
reg [24:0] VAR22;
always@(*)
begin
case (VAR39)
4: begin
if (VAR15 == 1)
begin
VAR22 <= {1'b0, VAR51[31:8]};
end
else
begin
if (|VAR30[2:0] & VAR11)
VAR22 <= {1'b0, VAR51[31:8]} + 1'b1;
end
else
VAR22 <= {1'b0, VAR51[31:8]};
end
end
3: begin
if (VAR15 == 1)
begin
if (|VAR30[2:0])
VAR22 <= {1'b0, VAR51[31:8]} + 1'b1;
end
else
VAR22 <= {1'b0, VAR51[31:8]};
end
else
begin
if (|VAR30[2:0] & ~VAR11)
VAR22 <= {1'b0, VAR51[31:8]} + 1'b1;
end
else
VAR22 <= {1'b0, VAR51[31:8]};
end
end
2: begin
VAR22 <= {1'b0, VAR51[31:8]};
end
1: begin
if (VAR30[2])
VAR22 <= {1'b0, VAR51[31:8]} + 1'b1;
end
else
VAR22 <= {1'b0, VAR51[31:8]};
end
default: begin
if ((&VAR30[3:2]) || (VAR30[2] & |VAR30[1:0]))
VAR22 <= {1'b0, VAR51[31:8]} + 1'b1;
end
else
VAR22 <= {1'b0, VAR51[31:8]};
end
endcase
end
always@(posedge VAR17 or negedge VAR55)
begin
if (~VAR55)
begin
VAR33 <= 1'b0;
VAR19 <= 32'VAR27;
VAR7 <= 8'VAR27;
VAR14 <= 1'VAR1;
end
else if (VAR8)
begin
VAR33 <= VAR24;
VAR14 <= VAR11;
VAR7 <= VAR21 + VAR22[24];
VAR19 <= VAR22[24] ? VAR22[23:1] : VAR22[22:0];
end
end
assign VAR40 = VAR44;
assign VAR46 = {VAR14, VAR7, VAR19};
assign VAR20 = VAR33;
endmodule
|
mit
|
nyaxt/dmix
|
spdif_tx.v
| 4,385 |
module MODULE1(
input wire clk, input wire rst,
input wire [1:0] VAR3,
input [47:0] VAR6,
output wire [1:0] VAR17,
input wire [191:0] VAR22,
input wire [191:0] VAR34,
output wire VAR18
);
reg VAR23;
always @(posedge clk) begin
if (rst)
VAR23 <= 1'b0;
end
else
VAR23 <= ~VAR23;
end
wire VAR10 = VAR23;
reg [47:0] VAR39;
always @(posedge clk) begin
if (VAR3[0])
VAR39[23:0] <= VAR6[23:0];
if (VAR3[1])
VAR39[47:24] <= VAR6[47:24];
end
parameter VAR9 = 8'b00010111;
parameter VAR21 = 8'b00011011;
parameter VAR33 = 8'b00011101;
parameter VAR20 = ~VAR9;
parameter VAR15 = ~VAR21;
parameter VAR26 = ~VAR33;
reg [4:0] VAR31;
always @(posedge clk) begin
if (rst) begin
VAR31 <= 5'd0;
end else if (VAR10) begin
VAR31 <= VAR31 + 5'd1;
end
end
wire VAR2 = VAR31 < 5'd4;
wire VAR24 = VAR31 == 5'd31;
wire VAR16 = VAR10 & (VAR31 == 5'd3);
wire VAR36 = ~VAR10 & (VAR31 == 5'd31);
wire VAR37 = VAR10 & (VAR31 == 5'd31);
wire VAR8;
reg [2:0] VAR32;
parameter VAR7 = 0;
parameter VAR11 = 1;
parameter VAR38 = 2;
reg [7:0] VAR29;
wire VAR41 = VAR29 == 8'd191;
always @(posedge clk) begin
if (rst) begin
VAR32 <= VAR7;
VAR29 <= 8'd191;
end else if (VAR36) begin
case (VAR32)
VAR7:
VAR32 <= VAR11;
VAR11:
VAR32 <= VAR41 ? VAR7 : VAR38;
VAR38:
VAR32 <= VAR11;
endcase
if (VAR41)
VAR29 <= 8'd0;
end
else
VAR29 <= VAR29 + 1;
end
end
assign VAR40 = (VAR32 == VAR11) ? 1'b1 : 1'b0;
reg [7:0] VAR28;
always @(posedge clk) begin
if (VAR37) begin
case (VAR32)
VAR7:
VAR28 <= VAR8 ? VAR9 : VAR20;
VAR11:
VAR28 <= VAR8 ? VAR21 : VAR15;
VAR38:
VAR28 <= VAR8 ? VAR33 : VAR26;
endcase
end else
VAR28 <= {VAR28[6:0], 1'b0};
end
wire [23:0] VAR5 = VAR40 ? VAR39[47:24] : VAR39[23:0];
reg [191:0] VAR14;
reg [191:0] VAR12;
always @(posedge clk) begin
if (VAR41)
VAR14 <= VAR22;
end
else if (VAR16)
VAR14 <= {VAR14[190:0], 1'b0};
if (VAR41)
VAR12 <= VAR34;
end
else if (VAR16)
VAR12 <= {VAR12[190:0], 1'b0};
end
reg [26:0] VAR35;
always @(posedge clk) begin
if (VAR16) begin
VAR35 <= {VAR5, 1'b1, VAR14[191], VAR12[191]};
end else if (VAR10)
VAR35 <= {VAR35[25:0], 1'b0};
end
wire VAR27 = VAR35[26];
reg VAR13;
always @(posedge clk) begin
if (VAR16)
VAR13 <= 0;
end
else if (VAR10)
VAR13 <= VAR13 ^ VAR27;
end
wire VAR1 = VAR13;
wire VAR30 = VAR24 ? VAR1 : VAR35[26];
reg VAR4;
always @(posedge clk) begin
if (rst)
VAR4 <= 0;
end
else
VAR4 <= (VAR30 | VAR10) ^ VAR4;
end
wire VAR19 = VAR2 ? VAR28[7] : VAR4;
assign VAR8 = VAR4;
reg VAR25;
always @(posedge clk)
VAR25 <= VAR19;
assign VAR18 = VAR25;
assign VAR17 = VAR16 ? {VAR40, ~VAR40} : 2'b0;
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/and2/sky130_fd_sc_lp__and2_m.v
| 2,083 |
module MODULE1 (
VAR2 ,
VAR5 ,
VAR6 ,
VAR1,
VAR7,
VAR9 ,
VAR8
);
output VAR2 ;
input VAR5 ;
input VAR6 ;
input VAR1;
input VAR7;
input VAR9 ;
input VAR8 ;
VAR3 VAR4 (
.VAR2(VAR2),
.VAR5(VAR5),
.VAR6(VAR6),
.VAR1(VAR1),
.VAR7(VAR7),
.VAR9(VAR9),
.VAR8(VAR8)
);
endmodule
module MODULE1 (
VAR2,
VAR5,
VAR6
);
output VAR2;
input VAR5;
input VAR6;
supply1 VAR1;
supply0 VAR7;
supply1 VAR9 ;
supply0 VAR8 ;
VAR3 VAR4 (
.VAR2(VAR2),
.VAR5(VAR5),
.VAR6(VAR6)
);
endmodule
|
apache-2.0
|
Elphel/x393_sata
|
x393/wrap/pll_base.v
| 6,234 |
module MODULE1#(
parameter VAR38 = 0.000, parameter VAR3 = "VAR36", parameter VAR37 = 1, parameter VAR24 = 0.000, parameter VAR11 = 0.000, parameter VAR15 = 0.000, parameter VAR39 = 0.000,
parameter VAR7 = 0.000,
parameter VAR1 = 0.000,
parameter VAR41 = 0.000,
parameter VAR2= 0.5, parameter VAR17= 0.5,
parameter VAR48= 0.5,
parameter VAR8= 0.5,
parameter VAR51= 0.5,
parameter VAR19= 0.5,
parameter VAR6 = 1, parameter VAR21 = 1, parameter VAR13 = 1,
parameter VAR16 = 1,
parameter VAR52 = 1,
parameter VAR30 = 1,
parameter VAR43 = 1, parameter VAR27 = 0.010, parameter VAR40 = "VAR22" )
(
input VAR29, input VAR12, input rst, input VAR33, output VAR4, output VAR46, output VAR50, output VAR35, output VAR20, output VAR45, output VAR26, output VAR44 );
VAR42 #(
.VAR3 (VAR3),
.VAR37 (VAR37),
.VAR24 (VAR24),
.VAR25 (VAR38),
.VAR6 (VAR6),
.VAR2 (VAR2),
.VAR11 (VAR11),
.VAR21 (VAR21),
.VAR17 (VAR17),
.VAR15 (VAR15),
.VAR13 (VAR13),
.VAR48 (VAR48),
.VAR39 (VAR39),
.VAR16 (VAR16),
.VAR8 (VAR8),
.VAR7 (VAR7),
.VAR52 (VAR52),
.VAR51 (VAR51),
.VAR1 (VAR1),
.VAR30 (VAR30),
.VAR19 (VAR19),
.VAR41 (VAR41),
.VAR43 (VAR43),
.VAR27 (VAR27),
.VAR40 (VAR40)
) VAR53 (
.VAR47 (VAR26), .VAR10 (VAR4), .VAR18 (VAR46), .VAR49 (VAR50), .VAR31 (VAR35), .VAR23 (VAR20), .VAR9 (VAR45), .VAR28 (VAR44), .VAR5 (VAR12), .VAR32 (VAR29), .VAR34 (VAR33), .VAR14 (rst) );
endmodule
|
gpl-3.0
|
ptracton/Picoblaze
|
projects/display/rtl/display_top.v
| 3,549 |
module MODULE1 (
VAR31, VAR11, VAR30,
VAR27, VAR7, VAR16
) ;
input VAR27;
input VAR7;
input VAR16;
output VAR31;
output [3:0] VAR11;
output [7:0] VAR30;
wire VAR24; wire VAR29;
wire VAR31;
wire [7:0] VAR19;
wire [7:0] VAR32;
wire [7:0] VAR8;
wire [7:0] VAR4;
wire [7:0] VAR17;
wire [3:0] VAR11;
wire [7:0] VAR30;
VAR1 VAR3(
.VAR24 (VAR24),
.VAR29 (VAR29),
.VAR27 (VAR27),
.VAR7 (VAR7));
VAR23 VAR20(
.VAR19 (VAR19[7:0]),
.VAR32 (VAR32[7:0]),
.VAR12 (VAR12),
.VAR18 (VAR18),
.VAR14 (VAR14),
.clk (VAR24),
.VAR8 (VAR8[7:0]),
.interrupt (interrupt),
.VAR22 (VAR22),
.VAR2 (VAR29));
assign VAR8 = VAR4 | VAR17;
assign interrupt = VAR6;
assign VAR22 = 0;
VAR21 VAR10(
.VAR31(VAR31),
.VAR9(VAR4),
.interrupt(VAR6),
.clk(VAR24),
.reset(VAR29),
.VAR16(VAR16),
.VAR19(VAR19),
.VAR25(VAR32),
.VAR18(VAR18),
.VAR12(VAR12)
) ;
VAR13 #(.VAR28(8'h10))
VAR5(
.VAR9(VAR17),
.VAR26(VAR11),
.VAR15(VAR30),
.clk(VAR24),
.reset(VAR29),
.VAR19(VAR19),
.VAR25(VAR32),
.VAR18(VAR18),
.VAR12(VAR12)
) ;
endmodule
|
mit
|
aquaxis/FPGAMAG18
|
modules/gpio_v1/src/fmrv32im_axi_gpio.v
| 8,341 |
module MODULE1
(
input VAR22,
input VAR10,
input [15:0] VAR16,
input [3:0] VAR24,
input [2:0] VAR6,
input VAR7,
output VAR54,
input [31:0] VAR3,
input [3:0] VAR53,
input VAR34,
output VAR47,
output VAR57,
input VAR17,
output [1:0] VAR12,
input [15:0] VAR31,
input [3:0] VAR20,
input [2:0] VAR13,
input VAR30,
output VAR32,
output [31:0] VAR59,
output [1:0] VAR50,
output VAR55,
input VAR28,
input [31:0] VAR26,
output [31:0] VAR18
);
wire VAR42;
wire VAR4;
wire VAR23;
wire [31:0] VAR45;
wire [3:0] VAR19;
wire [31:0] VAR43;
wire [31:0] VAR52;
MODULE3 MODULE1
(
.VAR56 ( VAR22 ),
.VAR58 ( VAR10 ),
.VAR16 ( VAR16 ),
.VAR24 ( VAR24 ),
.VAR6 ( VAR6 ),
.VAR7 ( VAR7 ),
.VAR54 ( VAR54 ),
.VAR3 ( VAR3 ),
.VAR53 ( VAR53 ),
.VAR34 ( VAR34 ),
.VAR47 ( VAR47 ),
.VAR12 ( VAR12 ),
.VAR57 ( VAR57 ),
.VAR17 ( VAR17 ),
.VAR31 ( VAR31 ),
.VAR20 ( VAR20 ),
.VAR13 ( VAR13 ),
.VAR30 ( VAR30 ),
.VAR32 ( VAR32 ),
.VAR59 ( VAR59 ),
.VAR50 ( VAR50 ),
.VAR55 ( VAR55 ),
.VAR28 ( VAR28 ),
.VAR27 ( VAR42),
.VAR46 ( VAR4),
.VAR51 ( VAR23),
.VAR40 ( VAR45),
.VAR2 ( VAR19),
.VAR29 ( VAR43),
.VAR25 ( VAR52)
);
MODULE2 MODULE2
(
.VAR22 ( VAR22),
.VAR10 ( VAR10),
.VAR27 ( VAR42),
.VAR46 ( VAR4),
.VAR51 ( VAR23),
.VAR40 ( VAR45),
.VAR2 ( VAR19),
.VAR29 ( VAR43),
.VAR25 ( VAR52),
.VAR26 ( VAR26),
.VAR18 ( VAR18)
);
endmodule
module MODULE3
(
input VAR56,
input VAR58,
input [15:0] VAR16,
input [3:0] VAR24,
input [2:0] VAR6,
input VAR7,
output VAR54,
input [31:0] VAR3,
input [3:0] VAR53,
input VAR34,
output VAR47,
output VAR57,
input VAR17,
output [1:0] VAR12,
input [15:0] VAR31,
input [3:0] VAR20,
input [2:0] VAR13,
input VAR30,
output VAR32,
output [31:0] VAR59,
output [1:0] VAR50,
output VAR55,
input VAR28,
output VAR27,
output VAR46,
input VAR51,
output [31:0] VAR40,
output [3:0] VAR2,
output [31:0] VAR29,
input [31:0] VAR25
);
localparam VAR48 = 2'd0;
localparam VAR33 = 2'd1;
localparam VAR39 = 2'd2;
localparam VAR49 = 2'd3;
reg [1:0] state;
reg VAR9;
reg [15:0] VAR44;
reg [31:0] VAR35;
reg [3:0] VAR14;
always @( posedge VAR58 or negedge VAR56 ) begin
if( !VAR56 ) begin
state <= VAR48;
VAR9 <= 1'b0;
VAR44 <= 16'd0;
VAR35 <= 32'd0;
VAR14 <= 4'd0;
end else begin
case( state )
VAR48: begin
if( VAR7 ) begin
VAR9 <= 1'b0;
VAR44 <= VAR16;
state <= VAR33;
end else if( VAR30 ) begin
VAR9 <= 1'b1;
VAR44 <= VAR31;
state <= VAR49;
end
end
VAR33: begin
if( VAR34 ) begin
state <= VAR39;
VAR35 <= VAR3;
VAR14 <= VAR53;
end
end
VAR39: begin
if( VAR51 & VAR17 ) begin
state <= VAR48;
end
end
VAR49: begin
if( VAR51 & VAR28 ) begin
state <= VAR48;
end
end
default: begin
state <= VAR48;
end
endcase
end
end
assign VAR27 = (( state == VAR39 )?1'b1:1'b0) | (( state == VAR49 )?1'b1:1'b0) | 1'b0;
assign VAR46 = VAR9;
assign VAR40 = VAR44;
assign VAR2 = VAR14;
assign VAR29 = VAR35;
assign VAR54 = ( state == VAR33 || state == VAR48 )?1'b1:1'b0;
assign VAR47 = ( state == VAR33 || state == VAR48 )?1'b1:1'b0;
assign VAR57 = ( state == VAR39 )?VAR51:1'b0;
assign VAR12 = 2'b00;
assign VAR32 = ( state == VAR49 || state == VAR48 )?1'b1:1'b0;
assign VAR55 = ( state == VAR49 )?VAR51:1'b0;
assign VAR50 = 2'b00;
assign VAR59 = ( state == VAR49 )?VAR25:32'd0;
endmodule
module MODULE2
(
input VAR22,
input VAR10,
input VAR27,
input VAR46,
output VAR51,
input [31:0] VAR40,
input [3:0] VAR2,
input [31:0] VAR29,
output [31:0] VAR25,
input [31:0] VAR26,
output [31:0] VAR18
);
localparam VAR11 = 8'h00;
localparam VAR5 = 8'h04;
wire VAR38, VAR1, VAR36;
reg VAR15;
reg [31:0] VAR37;
reg [31:0] VAR21;
assign VAR38 = (VAR27 & ~VAR46)?1'b1:1'b0;
assign VAR1 = (VAR27 & VAR46)?1'b1:1'b0;
assign VAR36 = VAR38;
always @(posedge VAR10) begin
if(!VAR22) begin
VAR37 <= 32'd0;
end else begin
if(VAR38) begin
case(VAR40[7:0] & 8'hFC)
VAR11: begin
VAR37 <= VAR29;
end
default: begin
end
endcase
end
end
end
always @(posedge VAR10) begin
if(!VAR22) begin
VAR21[31:0] <= 32'd0;
VAR15 <= 1'b0;
end else begin
VAR15 <= VAR1;
if(VAR1) begin
case(VAR40[7:0] & 8'hFC)
VAR11: begin
VAR21[31:0] <= VAR37[31:0];
end
VAR5: begin
VAR21[31:0] <= VAR26;
end
default: begin
VAR21[31:0] <= 32'd0;
end
endcase
end else begin
VAR21[31:0] <= 32'd0;
end
end
end
assign VAR51 = (VAR36 | VAR15);
assign VAR25[31:0] = VAR21[31:0];
assign VAR18 = VAR37;
endmodule
|
mit
|
MiddleMan5/233
|
Experiments/Experiment7-Its_Alive/IPI-BD/RAT/ip/RAT_FlagReg_0_1/RAT_FlagReg_0_1_stub.v
| 1,328 |
module MODULE1(VAR1, VAR4, VAR3, VAR2, VAR5, VAR6)
;
input VAR1;
input VAR4;
input VAR3;
input VAR2;
input VAR5;
output VAR6;
endmodule
|
mit
|
UA3MQJ/fpga-synth
|
modules/note_pitch2dds_2st_gen.v
| 7,020 |
module MODULE1(VAR3, VAR4, VAR5, VAR1);
input wire VAR3;
input wire [6:0] VAR4;
input wire [13:0] VAR5;
output reg [31:0] VAR1;
reg [32:0] VAR9;
reg [31:0] VAR6;
reg [7:0] VAR8;
reg [3:0] state;
reg [6:0] VAR7;
reg [13:0] VAR2;
|
gpl-3.0
|
zhangly/azpr_cpu
|
rtl/cpu/rtl/mem_stage.v
| 6,983 |
module MODULE1 (
input wire clk, input wire reset,
input wire VAR34, input wire VAR32, output wire VAR22,
output wire [VAR17] VAR21,
input wire [VAR17] VAR26, output wire [VAR9] VAR19, output wire VAR23, output wire VAR12, output wire [VAR17] VAR25,
input wire [VAR17] VAR8, input wire VAR44, input wire VAR45, output wire VAR29, output wire [VAR9] VAR35, output wire VAR2, output wire VAR28, output wire [VAR17] VAR18,
input wire [VAR9] VAR5, input wire VAR14, input wire VAR16, input wire [VAR48] VAR38, input wire [VAR17] VAR46, input wire [VAR24] VAR41, input wire [VAR36] VAR7, input wire VAR1, input wire [VAR42] VAR6, input wire [VAR17] VAR33,
output wire [VAR9] VAR13, output wire VAR39, output wire VAR10, output wire [VAR24] VAR40, output wire [VAR36] VAR15, output wire VAR37, output wire [VAR42] VAR20, output wire [VAR17] VAR27 );
wire [VAR17] VAR4; wire [VAR9] addr; wire VAR31; wire VAR47; wire [VAR17] VAR30; wire [VAR17] out; wire VAR3;
assign VAR21 = out;
VAR43 VAR43 (
.VAR14 (VAR14), .VAR38 (VAR38), .VAR46 (VAR46), .VAR33 (VAR33),
.VAR4 (VAR4), .addr (addr), .VAR31 (VAR31), .VAR47 (VAR47), .VAR30 (VAR30),
.out (out), .VAR3 (VAR3) );
VAR49 VAR49 (
.clk (clk), .reset (reset),
.VAR34 (VAR34), .VAR32 (VAR32), .VAR22 (VAR22),
.addr (addr), .VAR31 (VAR31), .VAR47 (VAR47), .VAR30 (VAR30), .VAR4 (VAR4),
.VAR26 (VAR26), .VAR19 (VAR19), .VAR23 (VAR23), .VAR12 (VAR12), .VAR25 (VAR25),
.VAR8 (VAR8), .VAR44 (VAR44), .VAR45 (VAR45), .VAR29 (VAR29), .VAR35 (VAR35), .VAR2 (VAR2), .VAR28 (VAR28), .VAR18 (VAR18) );
VAR11 VAR11 (
.clk (clk), .reset (reset),
.out (out), .VAR3 (VAR3),
.VAR34 (VAR34), .VAR32 (VAR32),
.VAR5 (VAR5), .VAR14 (VAR14), .VAR16 (VAR16), .VAR41 (VAR41), .VAR7 (VAR7), .VAR1 (VAR1), .VAR6 (VAR6),
.VAR13 (VAR13), .VAR39 (VAR39), .VAR10 (VAR10), .VAR40 (VAR40), .VAR15 (VAR15), .VAR37 (VAR37), .VAR20 (VAR20), .VAR27 (VAR27) );
endmodule
|
mit
|
CMU-SAFARI/NOCulator
|
hring/hw/buffered/src/c_interleaver.v
| 2,382 |
module MODULE1
(VAR8, VAR9);
parameter VAR7 = 8;
parameter VAR6 = 2;
localparam VAR4 = VAR7 / VAR6;
input [0:VAR7-1] VAR8;
output [0:VAR7-1] VAR9;
wire [0:VAR7-1] VAR9;
generate
genvar VAR3;
for(VAR3 = 0; VAR3 < VAR4; VAR3 = VAR3 + 1)
begin:VAR1
genvar VAR5;
for(VAR5 = 0; VAR5 < VAR6; VAR5 = VAR5 + 1)
begin:VAR2
assign VAR9[VAR3*VAR6+VAR5] = VAR8[VAR3+VAR5*VAR4];
end
end
endgenerate
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/o211a/sky130_fd_sc_ms__o211a.blackbox.v
| 1,360 |
module MODULE1 (
VAR9 ,
VAR5,
VAR1,
VAR3,
VAR2
);
output VAR9 ;
input VAR5;
input VAR1;
input VAR3;
input VAR2;
supply1 VAR6;
supply0 VAR8;
supply1 VAR7 ;
supply0 VAR4 ;
endmodule
|
apache-2.0
|
FPGA1988/udp_ip_stack
|
Network/udp_ip_core/trunk/ic/digital/rtl/eth_tri_mode/MAC_tx/MAC_tx_Ctrl.v
| 22,985 |
module MODULE1 (
VAR2 ,
VAR15 ,
VAR16 ,
VAR73 ,
VAR21 ,
VAR4 ,
VAR13 ,
VAR23 ,
VAR39 ,
VAR52 ,
VAR18 ,
VAR30 ,
VAR69 ,
VAR8 ,
VAR75 ,
VAR64 ,
VAR48 ,
VAR51 ,
VAR66 ,
VAR62 ,
VAR35 ,
VAR46 ,
VAR59 ,
VAR26 ,
VAR60 ,
VAR76 ,
VAR12 ,
VAR32 ,
VAR29 ,
VAR9 ,
VAR34 ,
VAR27 ,
VAR71 ,
VAR65 ,
VAR28 ,
VAR22,
VAR43 ,
VAR41 ,
VAR72 ,
VAR49 ,
VAR5 ,
VAR31
);
input VAR2 ;
input VAR15 ;
output VAR16 ;
output [7:0] VAR73 ;
output VAR21 ;
output VAR4 ;
input VAR13 ;
input [7:0] VAR23 ;
output VAR39 ;
output [3:0] VAR52 ;
input VAR18 ; input VAR30 ;
output VAR69 ;
input VAR8 ;
output VAR75 ;
input VAR64 ;
output VAR48 ;
input [7:0] VAR51 ;
output VAR66 ;
input VAR62 ;
input VAR35 ;
output VAR46 ;
output VAR59 ;
input VAR26 ;
input VAR60 ;
input VAR76 ;
output [7:0] VAR12 ;
output VAR32 ;
input VAR29 ;
output VAR27 ;
output VAR9 ;
input [7:0] VAR34 ;
output [2:0] VAR71 ;
output [15:0] VAR65 ;
output VAR28 ;
output [2:0] VAR22;
input VAR43 ;
input [15:0] VAR41 ;
input VAR72 ;
input VAR49 ;
input [3:0] VAR5 ;
input [5:0] VAR31 ;
parameter VAR50 =4'd00;
parameter VAR10 =4'd01;
parameter VAR68 =4'd02;
parameter VAR53 =4'd03;
parameter VAR61 =4'd04;
parameter VAR45 =4'd05;
parameter VAR74 =4'd06;
parameter VAR44 =4'd07;
parameter VAR54 =4'd08;
parameter VAR3 =4'd09;
parameter VAR70 =4'd10;
parameter VAR14 =4'd11;
parameter VAR38 =4'd12;
parameter VAR11 =4'd13;
parameter VAR6 =4'd14;
reg [3:0] VAR19 ;
reg [3:0] VAR36;
reg [5:0] VAR37;
reg [4:0] VAR56;reg [7:0] VAR17 ;
reg VAR47 ;
reg [15:0] VAR65 ;
reg VAR28 ;
reg VAR42 ;
reg VAR33;
reg [2:0] VAR22;
reg [3:0] VAR52 ;
reg VAR39 ;
reg VAR46 ;
reg VAR59 ;
reg [7:0] VAR12 ;
reg VAR32 ;
reg VAR16 ;
reg VAR21 ;
reg VAR4 ;
reg VAR66 ;
reg VAR9 ;
reg VAR58 ;
reg VAR7 ;
reg [2:0] VAR71 ;
wire VAR63 ;
reg VAR27 ;
reg VAR55 ;
reg [7:0] VAR67 ;reg [1:0] VAR25 ;
reg [7:0] VAR1 ;
reg VAR20 ;
reg [7:0] VAR40 ;
reg VAR69 ;
reg VAR57 ;
reg [15:0] VAR24 ;
reg VAR75 ;
reg VAR48 ;
always @(posedge VAR15 or posedge VAR2)
if (VAR2)
begin
VAR57 <=0;
VAR24 <=0;
end
else
begin
VAR57 <=VAR43 ;
VAR24 <=VAR41 ;
end
assign VAR63=VAR32&VAR29;
always @(posedge VAR15 or posedge VAR2)
if (VAR2)
VAR40 <=0;
else if (VAR19!=VAR61)
VAR40 <=0;
else
VAR40 <=VAR40+1;
always @(posedge VAR15 or posedge VAR2)
if (VAR2)
VAR67 <=0;
else if (VAR19==VAR11)
VAR67 <=0;
else if (VAR67!=8'hff&&(VAR19==VAR53||VAR19==VAR6||VAR19==VAR45))
VAR67 <=VAR67+1;
always @(posedge VAR15 or posedge VAR2)
if (VAR2)
VAR25 <=0;
else if (VAR19!=VAR45)
VAR25 <=0;
else
VAR25 <=VAR25+1;
always @(posedge VAR15 or posedge VAR2)
if (VAR2)
VAR19 <=VAR11;
else
VAR19 <=VAR36;
always @
if (VAR19==VAR53 ||
VAR19==VAR68&&!(VAR57&&(VAR8||VAR64)) ||
VAR19==VAR70&&VAR20||
VAR19==VAR14&&VAR20 )
VAR66 =1;
else
VAR66 =0;
always @ (VAR19)
if (VAR19==VAR38)
VAR46 =1;
else
VAR46 =0;
always @ (VAR19)
if (VAR19==VAR54)
VAR59 =1;
else
VAR59 =0;
always @(VAR19)
if (VAR19==VAR10||VAR19==VAR68||
VAR19==VAR53||VAR19==VAR6||
VAR19==VAR74||VAR19==VAR45||VAR19==VAR54)
VAR47 =1;
else
VAR47 =0;
always @(*)
case (VAR19)
VAR10:
VAR17 =8'h55;
VAR68:
VAR17 =8'hd5;
VAR53:
if (VAR55&&VAR72)
VAR17 =VAR34;
else
VAR17 =VAR51;
VAR6:
if (VAR55&&VAR72)
VAR17 =VAR34;
else
case (VAR67)
7'd0: VAR17 =8'h01;
7'd1: VAR17 =8'h80;
7'd2: VAR17 =8'hc2;
7'd3: VAR17 =8'h00;
7'd4: VAR17 =8'h00;
7'd5: VAR17 =8'h01;
7'd12: VAR17 =8'h88; 7'd13: VAR17 =8'h08; 7'd14: VAR17 =8'h00; 7'd15: VAR17 =8'h01;
7'd16: VAR17 =VAR64?8'b0:VAR24[15:8];
7'd17: VAR17 =VAR64?8'b0:VAR24[7:0];
default:VAR17 =0;
endcase
VAR45:
VAR17 =8'h00;
VAR54:
VAR17 =8'h01; VAR74:
VAR17 =VAR23;
default:
VAR17 =2'b0;
endcase
always @ (posedge VAR15 or posedge VAR2)
if (VAR2)
begin
VAR12 <=0;
VAR32 <=0;
end
else
begin
VAR12 <=VAR17;
VAR32 <=VAR47;
end
always @ (posedge VAR15 or posedge VAR2)
if (VAR2)
VAR65 <=0;
else if (VAR19==VAR68)
VAR65 <=0;
else if (VAR19==VAR53||VAR19==VAR6||VAR19==VAR45||VAR19==VAR74)
VAR65 <=VAR65+1;
always @ (posedge VAR15 or posedge VAR2)
if (VAR2)
VAR42 <=0;
else if ((VAR62&&VAR19==VAR70)||
(VAR62&&VAR19==VAR14)||
VAR13)
VAR42 <=1;
else
VAR42 <=0;
always @ (posedge VAR15 or posedge VAR2)
if (VAR2)
VAR33 <=0;
else
VAR33 <=VAR42;
always @ (posedge VAR15 or posedge VAR2)
if (VAR2)
VAR28 <=0;
else if ((VAR62&&VAR19==VAR70)||
(VAR62&&VAR19==VAR14)||
VAR13)
VAR28 <=1;
else if (VAR33)
VAR28 <=0;
always @ (posedge VAR15 or posedge VAR2)
if (VAR2)
VAR22 <=0;
else if(VAR62&&VAR19==VAR70)
VAR22 <=3'b001; else if(VAR62&&VAR19==VAR14)
VAR22 <=3'b010; else if(VAR62&&VAR76)
VAR22 <=3'b011; else if(VAR13)
VAR22 <=3'b100;
always @ (posedge VAR15 or posedge VAR2)
if (VAR2)
VAR7 <=0;
else if(VAR19==VAR68&&VAR36==VAR53)
VAR7 <=1;
else
VAR7 <=0;
always @ (posedge VAR15 or posedge VAR2)
if (VAR2)
VAR58 <=0;
else
VAR58 <=VAR7;
always @ (posedge VAR15 or posedge VAR2)
if (VAR2)
VAR71 <=0;
else if (VAR19==VAR6)
VAR71 <=3'b100;
else if(VAR58)
VAR71 <={1'b0,VAR12[7:6]};
always @(VAR65)
if (VAR65>=6&&VAR65<=11)
VAR55 =1;
else
VAR55 =0;
always @ (posedge VAR15 or posedge VAR2)
if (VAR2)
VAR9 <=0;
else if ((VAR65>=4&&VAR65<=9)&&(VAR72||VAR19==VAR6))
VAR9 <=1;
else
VAR9 <=0;
always @ (VAR65 or VAR66)
if ((VAR65==3)&&VAR66)
VAR27=1;
else
VAR27=0;
always @ (posedge VAR15 or posedge VAR2)
if (VAR2)
VAR69 <=0;
else if(VAR40==512/8)
VAR69 <=1;
else
VAR69 <=0;
always @ (posedge VAR15 or posedge VAR2)
if (VAR2)
VAR75 <=0;
else if(VAR19==VAR11&&VAR8)
VAR75 <=1;
else
VAR75 <=0;
always @ (posedge VAR15 or posedge VAR2)
if (VAR2)
VAR48 <=0;
else if(VAR19==VAR11&&VAR64)
VAR48 <=1;
else
VAR48 <=0;
endmodule
|
apache-2.0
|
PyLCARS/PythonUberHDL
|
myHDL_ComputerFundamentals/Memorys/memory_array.v
| 1,170 |
module MODULE1 (
VAR11,
VAR9,
VAR4,
VAR6,
VAR3,
clk,
VAR7
);
input [7:0] VAR11;
input VAR9;
input [4:0] VAR4;
input [4:0] VAR6;
output [7:0] VAR3;
wire [7:0] VAR3;
input clk;
input VAR7;
reg [7:0] VAR1 [0:16-1];
VAR2 begin: VAR12
integer VAR10;
for(VAR10=0; VAR10<16; VAR10=VAR10+1) begin
VAR1[VAR10] = 0;
end
end
always @(posedge clk) begin: VAR5
if (VAR9) begin
VAR1[VAR4[4-1:0]] <= VAR11;
end
end
assign VAR3 = VAR1[VAR6[4-1:0]];
always @(negedge VAR7) begin: VAR8
integer VAR10;
for (VAR10=0; VAR10<16; VAR10=VAR10+1) begin
VAR1[VAR10] <= 0;
end
end
endmodule
|
bsd-3-clause
|
jhol/butterflylogic
|
rtl/meta.v
| 3,686 |
module MODULE1 (
input wire VAR3,
input wire VAR1,
input wire VAR2,
input wire VAR6,
output reg VAR9,
output wire [7:0] VAR7
);
reg [5:0] VAR5, VAR10;
reg [5:0] VAR4;
reg [7:0] VAR8[63:0];
assign VAR7 = VAR8[VAR5];
begin
begin
begin
|
gpl-2.0
|
chenm001/x266
|
lib/RAM_Nx1.v
| 2,397 |
module MODULE1(VAR12, VAR3, VAR9, VAR11, VAR15, VAR14, VAR8, VAR4);
parameter VAR2 = 72;
parameter VAR5 = 512;
parameter VAR10 = 9;
parameter VAR7 = 18;
parameter VAR1 = 2048;
parameter VAR6 = 11;
input VAR12;
input VAR3;
input VAR9;
input VAR11;
input [VAR10-1:0] VAR15;
input [VAR6-1:0] VAR14;
input [VAR2-1:0] VAR8;
output reg [VAR7-1:0] VAR4;
reg [VAR2-1:0] mux;
reg [VAR2-1:0] VAR13 [VAR5-1:0] ;
always @(posedge VAR12)
begin
if(VAR9)
VAR13[VAR15] <= VAR8;
end
always @(posedge VAR3)
begin
mux = VAR13[VAR14[VAR6-1:1]];
if(VAR11)
begin
if (VAR14[0])
VAR4 <= mux[VAR2-1:VAR7];
end
else
VAR4 <= mux[VAR7-1:0];
end
end
endmodule
|
bsd-2-clause
|
GSejas/Dise-o-ASIC-FPGA-FPU
|
ASIC_FLOW/ASIC_fpu/integracion_fisica/front_end/source/DECO_CORDIC_OP2.v
| 2,159 |
module MODULE1 (
input wire VAR4,
input wire VAR2,
input wire [1:0] VAR1,
output reg VAR5,
output reg VAR3
);
always @(*) begin
if(VAR2 == 1'b0)
begin case (VAR1)
2'b00 : begin
VAR5 = 1'b0;
VAR3 = VAR4;
end
2'b01 : begin
VAR5 = 1'b1;
VAR3 = ~VAR4;
end
2'b10 : begin
VAR5 = 1'b1;
VAR3 = VAR4;
end
2'b11 : begin
VAR5 = 1'b0;
VAR3 = VAR4;
end
endcase
end
else begin case (VAR1)
2'b00 : begin
VAR5 = 1'b1;
VAR3 = VAR4;
end
2'b01 : begin
VAR5 = 1'b0;
VAR3 = VAR4;
end
2'b10 : begin
VAR5 = 1'b0;
VAR3 = ~VAR4;
end
2'b11 : begin
VAR5 = 1'b1;
VAR3 = VAR4;
end
endcase
end
end
endmodule
|
gpl-3.0
|
golfit/QcmMasterController
|
lut_n.v
| 6,588 |
module MODULE1(clk,VAR4, state);
input clk;
input [13:0] VAR4;
output reg [6:0] state;
parameter VAR1=4'b0100;
parameter VAR3=1;
always @(posedge clk) begin
end
if(VAR4>VAR2[0]) state=7'b1010000; else if(VAR4>VAR2[1]) state=7'b1001111;
else if(VAR4>VAR2[2]) state=7'b1001110;
else if(VAR4>VAR2[3]) state=7'b1001101;
else if(VAR4>VAR2[4]) state=7'b1001100;
else if(VAR4>VAR2[5]) state=7'b1001011;
else if(VAR4>VAR2[6]) state=7'b1001010;
else if(VAR4>VAR2[7]) state=7'b1001001;
else if(VAR4>VAR2[8]) state=7'b1001000;
else if(VAR4>VAR2[9]) state=7'b1000111;
else if(VAR4>VAR2[10]) state=7'b1000110;
else if(VAR4>VAR2[11]) state=7'b1000101;
else if(VAR4>VAR2[12]) state=7'b1000100;
else if(VAR4>VAR2[13]) state=7'b1000011;
else if(VAR4>VAR2[14]) state=7'b1000010;
else if(VAR4>VAR2[15]) state=7'b1000001;
else if(VAR4>VAR2[16]) state=7'b1000000;
else if(VAR4>VAR2[17]) state=7'b111111;
else if(VAR4>VAR2[18]) state=7'b111110;
else if(VAR4>VAR2[19]) state=7'b111101;
else if(VAR4>VAR2[20]) state=7'b111100;
else if(VAR4>VAR2[21]) state=7'b111011;
else if(VAR4>VAR2[22]) state=7'b111010;
else if(VAR4>VAR2[23]) state=7'b111001;
else if(VAR4>VAR2[24]) state=7'b111000;
else if(VAR4>VAR2[25]) state=7'b110111;
else if(VAR4>VAR2[26]) state=7'b110110;
else if(VAR4>VAR2[27]) state=7'b110101;
else if(VAR4>VAR2[28]) state=7'b110100;
else if(VAR4>VAR2[29]) state=7'b110011;
else if(VAR4>VAR2[30]) state=7'b110010;
else if(VAR4>VAR2[31]) state=7'b110001;
else if(VAR4>VAR2[32]) state=7'b110000;
else if(VAR4>VAR2[33]) state=7'b101111;
else if(VAR4>VAR2[34]) state=7'b101110;
else if(VAR4>VAR2[35]) state=7'b101101;
else if(VAR4>VAR2[36]) state=7'b101100;
else if(VAR4>VAR2[37]) state=7'b101011;
else if(VAR4>VAR2[38]) state=7'b101010;
else if(VAR4>VAR2[39]) state=7'b101001;
else if(VAR4>VAR2[40]) state=7'b101000;
else if(VAR4>VAR2[41]) state=7'b100111;
else if(VAR4>VAR2[42]) state=7'b100110;
else if(VAR4>VAR2[43]) state=7'b100101;
else if(VAR4>VAR2[44]) state=7'b100100;
else if(VAR4>VAR2[45]) state=7'b100011;
else if(VAR4>VAR2[46]) state=7'b100010;
else if(VAR4>VAR2[47]) state=7'b100001;
else if(VAR4>VAR2[48]) state=7'b100000;
else if(VAR4>VAR2[49]) state=7'b11111;
else if(VAR4>VAR2[50]) state=7'b11110;
else if(VAR4>VAR2[51]) state=7'b11101;
else if(VAR4>VAR2[52]) state=7'b11100;
else if(VAR4>VAR2[53]) state=7'b11011;
else if(VAR4>VAR2[54]) state=7'b11010;
else if(VAR4>VAR2[55]) state=7'b11001;
else if(VAR4>VAR2[56]) state=7'b11000;
else if(VAR4>VAR2[57]) state=7'b10111;
else if(VAR4>VAR2[58]) state=7'b10110;
else if(VAR4>VAR2[59]) state=7'b10101;
else if(VAR4>VAR2[60]) state=7'b10100;
else if(VAR4>VAR2[61]) state=7'b10011;
else if(VAR4>VAR2[62]) state=7'b10010;
else if(VAR4>VAR2[63]) state=7'b10001;
else if(VAR4>VAR2[64]) state=7'b10000;
else if(VAR4>VAR2[65]) state=7'b1111;
else if(VAR4>VAR2[66]) state=7'b1110;
else if(VAR4>VAR2[67]) state=7'b1101;
else if(VAR4>VAR2[68]) state=7'b1100;
else if(VAR4>VAR2[69]) state=7'b1011;
else if(VAR4>VAR2[70]) state=7'b1010;
else if(VAR4>VAR2[71]) state=7'b1001;
else if(VAR4>VAR2[72]) state=7'b1000;
else if(VAR4>VAR2[73]) state=7'b111;
else if(VAR4>VAR2[74]) state=7'b110;
else if(VAR4>VAR2[75]) state=7'b101;
else if(VAR4>VAR2[76]) state=7'b100;
else if(VAR4>VAR2[77]) state=7'b11;
else if(VAR4>VAR2[78]) state=7'b10;
else if(VAR4>VAR2[79]) state=7'b1;
else state=7'b0;
end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/tapvpwrvgnd/sky130_fd_sc_hdll__tapvpwrvgnd.pp.symbol.v
| 1,236 |
module MODULE1 (
input VAR3 ,
input VAR2,
input VAR1,
input VAR4
);
endmodule
|
apache-2.0
|
C-L-G/azpr_soc
|
azpr_soc/trunk/ic/digital/rtl/chip.v
| 15,757 |
module MODULE1 (
input wire clk ,
input wire VAR85 ,
input wire reset
,input wire VAR25
,output wire VAR53
,input wire [VAR28-1:0] VAR22
,output wire [VAR112-1:0] VAR37
,inout wire [VAR47-1:0] VAR21
);
wire [VAR31] VAR110;
wire VAR106;
wire VAR8;
wire [VAR102] VAR97;
wire VAR60;
wire VAR75;
wire [VAR31] VAR56;
wire VAR34;
wire VAR40;
wire [VAR102] VAR61;
wire VAR1;
wire VAR33;
wire [VAR31] VAR94;
wire VAR20;
wire VAR48;
wire [VAR102] VAR105;
wire VAR30;
wire VAR51;
wire [VAR31] VAR93;
wire VAR9;
wire VAR19;
wire [VAR102] VAR74;
wire VAR95;
wire VAR11;
wire [VAR31] VAR98;
wire VAR3;
wire [VAR102] VAR80;
wire VAR111;
wire VAR13;
wire [VAR31] VAR18;
wire [VAR31] VAR54;
wire VAR86;
wire VAR2;
wire [VAR31] VAR55;
wire VAR5;
wire VAR42;
wire [VAR31] VAR88;
wire VAR109;
wire VAR45;
wire [VAR31] VAR68;
wire VAR66;
wire VAR76;
wire [VAR31] VAR92;
wire VAR36;
wire VAR57;
wire [VAR31] VAR58;
wire VAR79;
wire VAR43;
wire [VAR31] VAR90;
wire VAR14;
wire VAR83;
wire [VAR31] VAR65;
wire VAR35;
wire VAR29;
wire VAR46;
wire VAR107;
wire VAR12;
wire [VAR77-1:0] VAR69;
assign VAR69 = {{VAR77-3{VAR7}},
VAR107, VAR12, VAR46};
VAR32 VAR32 (
.clk (clk),
.VAR85 (VAR85),
.reset (reset),
.VAR104 (VAR110),
.VAR44 (VAR106),
.VAR87 (VAR34),
.VAR62 (VAR8),
.VAR82 (VAR97),
.VAR89 (VAR60),
.VAR23 (VAR75),
.VAR101 (VAR56),
.VAR84 (VAR110),
.VAR67 (VAR106),
.VAR96 (VAR20),
.VAR108 (VAR40),
.VAR16 (VAR61),
.VAR64 (VAR1),
.VAR50 (VAR33),
.VAR72 (VAR94),
.VAR69 (VAR69)
);
assign VAR105 = VAR27'h0;
assign VAR30 = VAR52;
assign VAR51 = VAR78;
assign VAR93 = VAR15'h0;
assign VAR48 = VAR52;
assign VAR74 = VAR27'h0;
assign VAR95 = VAR52;
assign VAR11 = VAR78;
assign VAR98 = VAR15'h0;
assign VAR19 = VAR52;
VAR24 VAR24 (
.clk (clk ),
.reset (reset ),
.VAR38 (VAR2 ),
.VAR100 (VAR111 ),
.addr (VAR80[VAR70]),
.VAR26 (VAR54 ),
.VAR63 (VAR86 )
);
assign VAR55 = VAR15'h0;
assign VAR5 = VAR52;
VAR6 VAR6 (
.clk (clk ),
.reset (reset ),
.VAR38 (VAR45 ),
.VAR100 (VAR111 ),
.addr (VAR80[VAR41]),
.VAR17 (VAR13 ),
.VAR91 (VAR18 ),
.VAR26 (VAR88),
.VAR63 (VAR109 ),
.irq (VAR46 )
);
assign VAR88 = VAR15'h0;
assign VAR109 = VAR52;
assign VAR46 = VAR99;
VAR71 VAR71 (
.clk (clk ),
.reset (reset ),
.VAR38 (VAR76 ),
.VAR100 (VAR111 ),
.VAR17 (VAR13 ),
.addr (VAR80[VAR39]),
.VAR91 (VAR18 ),
.VAR26 (VAR68),
.VAR63 (VAR66 ),
.VAR73 (VAR107),
.VAR4 (VAR12),
.VAR81 (VAR25 ),
.VAR103 (VAR53 )
);
assign VAR68 = VAR15'h0;
assign VAR66 = VAR52;
assign VAR107 = VAR99;
assign VAR12 = VAR99;
VAR49 VAR49 (
.clk (clk),
.reset (reset),
.VAR38 (VAR57),
.VAR100 (VAR111),
.VAR17 (VAR13),
.addr (VAR80[VAR10]),
.VAR91 (VAR18),
.VAR26 (VAR92),
.VAR63 (VAR36)
, .VAR22 (VAR22)
, .VAR37 (VAR37)
, .VAR21 (VAR21)
);
assign VAR92 = VAR15'h0;
assign VAR36 = VAR52;
assign VAR58 = VAR15'h0;
assign VAR79 = VAR52;
assign VAR90 = VAR15'h0;
assign VAR14 = VAR52;
assign VAR65 = VAR15'h0;
assign VAR35 = VAR52;
VAR59 VAR59 (
.clk (clk),
.reset (reset),
.VAR110 (VAR110),
.VAR106 (VAR106),
.VAR8 (VAR8),
.VAR97 (VAR97),
.VAR60 (VAR60),
.VAR75 (VAR75),
.VAR56 (VAR56),
.VAR34 (VAR34),
.VAR40 (VAR40),
.VAR61 (VAR61),
.VAR1 (VAR1),
.VAR33 (VAR33),
.VAR94 (VAR94),
.VAR20 (VAR20),
.VAR48 (VAR48),
.VAR105 (VAR105),
.VAR30 (VAR30),
.VAR51 (VAR51),
.VAR93 (VAR93),
.VAR9 (VAR9),
.VAR19 (VAR19),
.VAR74 (VAR74),
.VAR95 (VAR95),
.VAR11 (VAR11),
.VAR98 (VAR98),
.VAR3 (VAR3),
.VAR80 (VAR80),
.VAR111 (VAR111),
.VAR13 (VAR13),
.VAR18 (VAR18),
.VAR54 (VAR54),
.VAR86 (VAR86),
.VAR2 (VAR2),
.VAR55 (VAR55),
.VAR5 (VAR5),
.VAR42 (VAR42),
.VAR88 (VAR88),
.VAR109 (VAR109),
.VAR45 (VAR45),
.VAR68 (VAR68),
.VAR66 (VAR66),
.VAR76 (VAR76),
.VAR92 (VAR92),
.VAR36 (VAR36),
.VAR57 (VAR57),
.VAR58 (VAR58),
.VAR79 (VAR79),
.VAR43 (VAR43),
.VAR90 (VAR90),
.VAR14 (VAR14),
.VAR83 (VAR83),
.VAR65 (VAR65),
.VAR35 (VAR35),
.VAR29 (VAR29)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/muxb8to1/sky130_fd_sc_hdll__muxb8to1.behavioral.pp.v
| 4,893 |
module MODULE1 (
VAR47 ,
VAR10 ,
VAR18 ,
VAR28,
VAR41,
VAR16 ,
VAR37
);
output VAR47 ;
input [7:0] VAR10 ;
input [7:0] VAR18 ;
input VAR28;
input VAR41;
input VAR16 ;
input VAR37 ;
wire VAR43 ;
wire VAR6 ;
wire VAR45 ;
wire VAR31 ;
wire VAR8 ;
wire VAR32 ;
wire VAR11 ;
wire VAR29 ;
wire VAR39 ;
wire VAR30 ;
wire VAR22;
wire VAR12;
wire VAR34;
wire VAR26;
wire VAR2;
wire VAR14;
VAR13 VAR23 (VAR43 , VAR10[0], VAR28, VAR41 );
VAR13 VAR38 (VAR6 , VAR18[0], VAR28, VAR41 );
bufif1 VAR20 (VAR47 , !VAR43, VAR6 );
VAR13 VAR7 (VAR45 , VAR10[1], VAR28, VAR41 );
VAR13 VAR4 (VAR31 , VAR18[1], VAR28, VAR41 );
bufif1 VAR36 (VAR47 , !VAR45, VAR31 );
VAR13 VAR5 (VAR8 , VAR10[2], VAR28, VAR41 );
VAR13 VAR40 (VAR32 , VAR18[2], VAR28, VAR41 );
bufif1 VAR42 (VAR47 , !VAR8, VAR32 );
VAR13 VAR25 (VAR11 , VAR10[3], VAR28, VAR41 );
VAR13 VAR1 (VAR29 , VAR18[3], VAR28, VAR41 );
bufif1 VAR44 (VAR47 , !VAR11, VAR29 );
VAR13 VAR21 (VAR39 , VAR10[4], VAR28, VAR41 );
VAR13 VAR9 (VAR30 , VAR18[4], VAR28, VAR41 );
bufif1 VAR3 (VAR47 , !VAR39, VAR30 );
VAR13 VAR33 (VAR22, VAR10[5], VAR28, VAR41 );
VAR13 VAR27 (VAR12, VAR18[5], VAR28, VAR41 );
bufif1 VAR15 (VAR47 , !VAR22, VAR12);
VAR13 VAR17 (VAR34, VAR10[6], VAR28, VAR41 );
VAR13 VAR19 (VAR26, VAR18[6], VAR28, VAR41 );
bufif1 VAR35 (VAR47 , !VAR34, VAR26);
VAR13 VAR48 (VAR2, VAR10[7], VAR28, VAR41 );
VAR13 VAR24 (VAR14, VAR18[7], VAR28, VAR41 );
bufif1 VAR46 (VAR47 , !VAR2, VAR14);
endmodule
|
apache-2.0
|
alexforencich/hdg2000
|
fpga/lib/axis/rtl/axis_srl_fifo.v
| 3,795 |
module MODULE1 #
(
parameter VAR25 = 8,
parameter VAR13 = 16
)
(
input wire clk,
input wire rst,
input wire [VAR25-1:0] VAR15,
input wire VAR18,
output wire VAR19,
input wire VAR12,
input wire VAR4,
output wire [VAR25-1:0] VAR17,
output wire VAR26,
input wire VAR22,
output wire VAR6,
output wire VAR7,
output wire [VAR8(VAR13+1)-1:0] VAR27
);
reg [VAR25+2-1:0] VAR1[VAR13-1:0];
reg [VAR8(VAR13+1)-1:0] VAR20 = 0, VAR3;
reg VAR10 = 0, VAR11;
reg VAR14 = 1, VAR16;
assign {VAR6, VAR7, VAR17} = VAR1[VAR20-1];
assign VAR19 = ~VAR10;
assign VAR26 = ~VAR14;
assign VAR27 = VAR20;
wire VAR2 = VAR20 == 0;
wire VAR23 = VAR20 == 1;
wire VAR29 = VAR20 == VAR13;
wire VAR28 = VAR20 == VAR13-1;
reg VAR21;
reg VAR9;
reg VAR24;
integer VAR5;
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hvl
|
cells/nor3/sky130_fd_sc_hvl__nor3_1.v
| 2,206 |
module MODULE1 (
VAR8 ,
VAR3 ,
VAR6 ,
VAR2 ,
VAR5,
VAR4,
VAR7 ,
VAR10
);
output VAR8 ;
input VAR3 ;
input VAR6 ;
input VAR2 ;
input VAR5;
input VAR4;
input VAR7 ;
input VAR10 ;
VAR9 VAR1 (
.VAR8(VAR8),
.VAR3(VAR3),
.VAR6(VAR6),
.VAR2(VAR2),
.VAR5(VAR5),
.VAR4(VAR4),
.VAR7(VAR7),
.VAR10(VAR10)
);
endmodule
module MODULE1 (
VAR8,
VAR3,
VAR6,
VAR2
);
output VAR8;
input VAR3;
input VAR6;
input VAR2;
supply1 VAR5;
supply0 VAR4;
supply1 VAR7 ;
supply0 VAR10 ;
VAR9 VAR1 (
.VAR8(VAR8),
.VAR3(VAR3),
.VAR6(VAR6),
.VAR2(VAR2)
);
endmodule
|
apache-2.0
|
rkrajnc/minimig-mist
|
lib/altera/maxii_atoms.v
| 53,691 |
module MODULE1(
primitive VAR2 (VAR20, VAR14, VAR23, VAR6, VAR9, VAR18, VAR19);
input VAR23;
input VAR9;
input VAR18;
input VAR6;
input VAR14;
input VAR19;
output VAR20; reg VAR20;
VAR15 VAR20 = 1'b0;
VAR1
(??) ? ? 1 1 ? : ? : -; VAR17 ? ? 1 1 ? : ? : -; 1 1 (01) 1 1 ? : ? : 1; 1 1 (01) 1 VAR17 ? : ? : 1;
1 1 ? 1 VAR17 ? : 1 : 1;
1 0 0 1 VAR17 ? : 1 : 1; 1 0 VAR17 1 (?VAR17) ? : 1 : 1; 1 0 1 1 (?VAR17) ? : 1 : 1;
1 VAR17 0 1 VAR17 ? : 1 : 1; 1 VAR17 VAR17 1 (?VAR17) ? : 1 : 1; 1 VAR17 1 1 (?VAR17) ? : 1 : 1;
1 0 (01) 1 1 ? : ? : 0;
1 0 (01) VAR17 1 ? : ? : 0;
1 0 ? VAR17 1 ? : 0 : 0; 0 ? ? VAR17 1 ? : ? : -;
1 1 0 VAR17 1 ? : 0 : 0; 1 1 VAR17 (?VAR17) 1 ? : 0 : 0; 1 1 1 (?VAR17) 1 ? : 0 : 0;
1 VAR17 0 VAR17 1 ? : 0 : 0; 1 VAR17 VAR17 (?VAR17) 1 ? : 0 : 0; 1 VAR17 1 (?VAR17) 1 ? : 0 : 0;
1 ? (VAR3) 1 1 ? : ? : -; 1 1 (0x) 1 1 ? : 1 : 1;
1 0 (0x) 1 1 ? : 0 : 0;
? ? ? 0 0 ? : ? : 0; ? ? ? 0 1 ? : ? : 0;
? ? ? 1 0 ? : ? : 1;
1 ? (?0) 1 1 ? : ? : -; 1 ? (1x) 1 1 ? : ? : -; 1 * ? ? ? ? : ? : -;
1 ? ? (?1) ? ? : ? : -; 1 ? ? ? (?1) ? : ? : -;
0 ? ? 1 1 ? : ? : -;
? ? ? 1 1 * : ? : VAR17; VAR4
endprimitive
primitive VAR11 (VAR7, VAR13, clk, VAR16, VAR5, VAR22, VAR12, VAR8, VAR21, VAR10, VAR19 );
input VAR13,clk,VAR16,VAR5,VAR22,VAR12,VAR8,VAR21,VAR10, VAR19;
output VAR7;
reg VAR7;
begin
begin
begin
begin
end
begin
begin
begin
end
begin
end
begin
end
begin
end
begin
begin
end
begin
begin
begin
begin
end
begin
begin
end
begin
begin
end
begin
begin
begin
end
begin
begin
begin
begin
begin
begin
end
begin
begin
end
begin
begin
begin
begin
end
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
end
begin
begin
begin
end
begin
begin
begin
begin
end
begin
end
begin
begin
begin
begin
begin
end
begin
end
begin
begin
begin
begin
begin
end
begin
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/clkdlyinv3sd2/sky130_fd_sc_hs__clkdlyinv3sd2.pp.blackbox.v
| 1,291 |
module MODULE1 (
VAR1 ,
VAR3 ,
VAR4,
VAR2
);
output VAR1 ;
input VAR3 ;
input VAR4;
input VAR2;
endmodule
|
apache-2.0
|
sergev/vak-opensource
|
hardware/basys3/abacus/Seg_Scroll_REM.v
| 1,097 |
module MODULE1(
input clk,
input VAR1,
input [19:0] VAR3,
output [15:0] VAR4
);
reg [26:0] VAR2;
reg [23:0] VAR6;
always @(posedge VAR5 or posedge VAR1)
begin
if(VAR1==1)
begin
VAR6 [19:0] <= VAR3[19:0];
VAR6 [23:20] <= 'hC;
end
else
begin
VAR6 [19:0] <= VAR6[23:4];
VAR6 [23:20] <= VAR6[3:0];
end
end
assign VAR4[15:0] = VAR6[15:0];
always @(posedge clk or posedge VAR1)
begin
if(VAR1==1)
VAR2<=0;
end
else
VAR2<=VAR2+1;
end
assign VAR5 = VAR2[26];
endmodule
|
apache-2.0
|
XCopter-HSU/XCopter
|
documentations/Bumblebee_Documentation/SoPC/NIOS_MCAPI_Base_v07/soc_system/synthesis/submodules/i2c_master_byte_ctrl.v
| 10,288 |
module MODULE1 (
clk, rst, VAR17, VAR30, VAR16, VAR10, read, write, VAR6, din,
VAR21, VAR12, dout, VAR37, VAR31, VAR18, VAR20, VAR15, VAR24, VAR5, VAR36 );
input clk; input rst; input VAR17;
input [15:0] VAR30;
input VAR16;
input VAR10;
input read;
input write;
input VAR6;
input [7:0] din;
output VAR21;
reg VAR21;
output VAR12;
reg VAR12;
output VAR37;
output VAR31;
output [7:0] dout;
input VAR18;
output VAR20;
output VAR15;
input VAR24;
output VAR5;
output VAR36;
parameter [4:0] VAR34 = 5'b00000;
parameter [4:0] VAR3 = 5'b00001;
parameter [4:0] VAR4 = 5'b00010;
parameter [4:0] VAR11 = 5'b00100;
parameter [4:0] VAR33 = 5'b01000;
parameter [4:0] VAR7 = 5'b10000;
reg [3:0] VAR13;
reg VAR8;
wire VAR2, VAR42;
reg [7:0] VAR35; reg VAR25, VAR41;
wire VAR27;
reg [2:0] VAR14;
wire VAR26;
VAR40 VAR28 (
.clk ( clk ),
.rst ( rst ),
.VAR17 ( VAR17 ),
.VAR30 ( VAR30 ),
.VAR39 ( VAR13 ),
.VAR21 ( VAR2 ),
.VAR38 ( VAR37 ),
.VAR9 ( VAR31 ),
.din ( VAR8 ),
.dout ( VAR42 ),
.VAR18 ( VAR18 ),
.VAR20 ( VAR20 ),
.VAR15 ( VAR15 ),
.VAR24 ( VAR24 ),
.VAR5 ( VAR5 ),
.VAR36 ( VAR36 )
);
assign VAR27 = (read | write | VAR10) & ~VAR21;
assign dout = VAR35;
always @(posedge clk)
if (rst)
VAR35 <= 8'h0;
else if (VAR41)
VAR35 <= din;
else if (VAR25)
VAR35 <= {VAR35[6:0], VAR42};
always @(posedge clk)
if (rst)
VAR14 <= 3'h0;
else if (VAR41)
VAR14 <= 3'h7;
else if (VAR25)
VAR14 <= VAR14 - 3'h1;
assign VAR26 = ~(|VAR14);
reg [4:0] VAR29;
always @(posedge clk)
if (rst | VAR31)
begin
VAR13 <= VAR32;
VAR8 <= 1'b0;
VAR25 <= 1'b0;
VAR41 <= 1'b0;
VAR21 <= 1'b0;
VAR29 <= VAR34;
VAR12 <= 1'b0;
end
else
begin
VAR8 <= VAR35[7];
VAR25 <= 1'b0;
VAR41 <= 1'b0;
VAR21 <= 1'b0;
case (VAR29) VAR34:
if (VAR27)
begin
if (VAR16)
begin
VAR29 <= VAR3;
VAR13 <= VAR1;
end
else if (read)
begin
VAR29 <= VAR4;
VAR13 <= VAR22;
end
else if (write)
begin
VAR29 <= VAR11;
VAR13 <= VAR19;
end
else begin
VAR29 <= VAR7;
VAR13 <= VAR23;
end
VAR41 <= 1'b1;
end
VAR3:
if (VAR2)
begin
if (read)
begin
VAR29 <= VAR4;
VAR13 <= VAR22;
end
else
begin
VAR29 <= VAR11;
VAR13 <= VAR19;
end
VAR41 <= 1'b1;
end
VAR11:
if (VAR2)
if (VAR26)
begin
VAR29 <= VAR33;
VAR13 <= VAR22;
end
else
begin
VAR29 <= VAR11; VAR13 <= VAR19; VAR25 <= 1'b1;
end
VAR4:
if (VAR2)
begin
if (VAR26)
begin
VAR29 <= VAR33;
VAR13 <= VAR19;
end
else
begin
VAR29 <= VAR4; VAR13 <= VAR22; end
VAR25 <= 1'b1;
VAR8 <= VAR6;
end
VAR33:
if (VAR2)
begin
if (VAR10)
begin
VAR29 <= VAR7;
VAR13 <= VAR23;
end
else
begin
VAR29 <= VAR34;
VAR13 <= VAR32;
VAR21 <= 1'b1;
end
VAR12 <= VAR42;
VAR8 <= 1'b1;
end
else
VAR8 <= VAR6;
VAR7:
if (VAR2)
begin
VAR29 <= VAR34;
VAR13 <= VAR32;
VAR21 <= 1'b1;
end
endcase
end
endmodule
|
gpl-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/clkinv/gf180mcu_fd_sc_mcu9t5v0__clkinv_12.behavioral.pp.v
| 1,187 |
module MODULE1( VAR7, VAR5, VAR1, VAR3 );
input VAR7;
inout VAR1, VAR3;
output VAR5;
VAR4 VAR2(.VAR7(VAR7),.VAR5(VAR5),.VAR1(VAR1),.VAR3(VAR3));
VAR4 VAR6(.VAR7(VAR7),.VAR5(VAR5),.VAR1(VAR1),.VAR3(VAR3));
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/clkdlyinv5sd3/sky130_fd_sc_ls__clkdlyinv5sd3_1.v
| 2,164 |
module MODULE1 (
VAR5 ,
VAR8 ,
VAR3,
VAR6,
VAR2 ,
VAR4
);
output VAR5 ;
input VAR8 ;
input VAR3;
input VAR6;
input VAR2 ;
input VAR4 ;
VAR1 VAR7 (
.VAR5(VAR5),
.VAR8(VAR8),
.VAR3(VAR3),
.VAR6(VAR6),
.VAR2(VAR2),
.VAR4(VAR4)
);
endmodule
module MODULE1 (
VAR5,
VAR8
);
output VAR5;
input VAR8;
supply1 VAR3;
supply0 VAR6;
supply1 VAR2 ;
supply0 VAR4 ;
VAR1 VAR7 (
.VAR5(VAR5),
.VAR8(VAR8)
);
endmodule
|
apache-2.0
|
ShepardSiegel/ocpi
|
coregen/pcie_4243_axi_k7_x4_250/source/pcie_7x_v1_3_axi_basic_tx_pipeline.v
| 22,925 |
module MODULE1 #(
parameter VAR16 = 128, parameter VAR7 = "VAR49", parameter VAR53 = 1,
parameter VAR27 = (VAR16 == 128) ? 2 : 1, parameter VAR8 = VAR16 / 8 ) (
input [VAR16-1:0] VAR11, input VAR36, output VAR26, input [VAR8-1:0] VAR22, input VAR60, input [3:0] VAR18,
output [VAR16-1:0] VAR32, output VAR19, output VAR25, output VAR55, input VAR23, output VAR2, output [VAR27-1:0] VAR15, output VAR14, output VAR43, output VAR39, input VAR56,
input VAR33, input VAR48, input VAR50 );
reg [VAR16-1:0] VAR54;
reg VAR34;
reg [VAR8-1:0] VAR31;
reg [3:0] VAR59;
reg VAR9;
reg VAR45;
reg VAR24;
reg VAR29;
reg VAR51;
wire VAR6;
reg VAR3;
wire VAR5 = VAR36 && VAR26;
wire VAR13 = VAR5 && VAR60;
generate
if(VAR16 == 128) begin : VAR21
assign VAR32 = {VAR54[31:0],
VAR54[63:32],
VAR54[95:64],
VAR54[127:96]};
end
else if(VAR16 == 64) begin : VAR12
assign VAR32 = {VAR54[31:0], VAR54[63:32]};
end
else begin : VAR58
assign VAR32 = VAR54;
end
endgenerate
assign VAR19 = VAR34 && !VAR24;
always @(posedge VAR48) begin
if(VAR50) begin
end
else begin
if(VAR19 && VAR55 && VAR23 && !VAR25) begin
end
else if((VAR24 && VAR25 && VAR55) || !VAR56) begin
end
end
end
always @(posedge VAR48) begin
if(VAR50) begin
end
else begin
if(VAR5 && !VAR60) begin
end
else if(VAR5) begin
end
end
end
generate
if(VAR7 == "VAR46") begin : VAR37
always @(posedge VAR48) begin
if(VAR50) begin
end
else begin
if(!VAR56)
begin
end
else if(!VAR51 && VAR26) begin
end
end
end
assign VAR6 = VAR3;
end
else begin : VAR20
always @(posedge VAR48) begin
if(VAR50) begin
end
else begin
if(VAR29 && !VAR56 && !VAR13)
begin
end
else if(VAR13) begin
end
end
end
assign VAR6 = VAR3 || !VAR56;
end
endgenerate
generate
if(VAR16 == 128) begin : VAR61
wire VAR47 = VAR31[7];
wire VAR52 = VAR31[11];
wire VAR42 = VAR31[15];
assign VAR15[1] = VAR52;
assign VAR15[0] = VAR42 || (VAR47 && !VAR52);
end
else if(VAR16 == 64) begin : VAR4
assign VAR15 = VAR31[7];
end
else begin : VAR40
assign VAR15 = 1'b0;
end
endgenerate
assign VAR25 = VAR9;
assign VAR39 = VAR59[0];
assign VAR14 = VAR59[1];
assign VAR43 = VAR59[2];
assign VAR2 = VAR59[3];
generate
reg VAR44;
if(VAR7 == "VAR49") begin : VAR41
always @(posedge VAR48) begin
if(VAR50) begin
end
else begin
end
end
assign VAR55 = VAR44;
assign VAR26 = VAR33;
end
else begin : VAR10
reg [VAR16-1:0] VAR38;
reg VAR17;
reg [VAR8-1:0] VAR57;
reg VAR30;
reg [3:0] VAR62;
reg VAR35;
wire VAR1;
reg VAR28;
always @(posedge VAR48) begin
if(VAR50) begin
end
else begin
if(!VAR26) begin
end
else begin
end
end
end
always @(posedge VAR48) begin
if(VAR50) begin
end
else begin
if(!VAR1) begin
if(VAR28) begin
end
else begin
end
end
end
end
assign VAR1 = VAR55 && !VAR23;
always @(posedge VAR48) begin
if(VAR50) begin
end
else begin
end
end
assign VAR55 = VAR34 && !VAR6;
always @(posedge VAR48) begin
if(VAR50) begin
end
else begin
if(VAR51 && !VAR13) begin
end
else if(VAR56) begin
end
else begin
end
end
end
assign VAR26 = VAR45;
end
always @(posedge VAR48) begin
if(VAR50) begin
end
else begin
if(VAR29 && !VAR56 && !VAR13) begin
end
else if(VAR13) begin
end
end
end
endgenerate
endmodule
|
lgpl-3.0
|
EPiCS/soundgates
|
hardware/design/reference/cf_lib/edk/pcores/axi_ad9361_v1_00_a/hdl/verilog/axi_ad9361_rx_channel.v
| 8,609 |
module MODULE1 (
VAR29,
VAR23,
VAR31,
VAR26,
VAR60,
VAR49,
VAR53,
VAR92,
VAR14,
VAR54,
VAR79,
VAR16,
VAR33,
VAR63,
VAR95,
VAR39,
VAR72,
VAR10,
VAR37,
VAR66,
VAR19,
VAR101,
VAR51,
VAR74,
VAR70,
VAR48,
VAR77,
VAR99);
parameter VAR20 = 0;
parameter VAR21 = 0;
parameter VAR57 = 0;
input VAR29;
input VAR23;
input VAR31;
input VAR26;
input VAR60;
input [11:0] VAR49;
input [11:0] VAR53;
input VAR92;
output [15:0] VAR14;
output VAR54;
output VAR79;
input [15:0] VAR16;
input VAR33;
input VAR63;
output VAR95;
output [15:0] VAR39;
output VAR72;
output VAR10;
output VAR37;
output VAR66;
input VAR19;
input VAR101;
input VAR51;
input VAR74;
input [13:0] VAR70;
input [31:0] VAR48;
output [31:0] VAR77;
output VAR99;
wire VAR41;
wire [15:0] VAR47;
wire VAR73;
wire [15:0] VAR64;
wire [15:0] VAR85;
wire VAR68;
wire VAR91;
wire VAR4;
wire VAR6;
wire VAR102;
wire VAR50;
wire [15:0] VAR55;
wire [15:0] VAR76;
wire [15:0] VAR71;
wire [15:0] VAR28;
wire VAR42;
wire VAR5;
assign VAR64 = (VAR20 == 1) ? VAR16 : VAR14;
assign VAR85 = (VAR20 == 1) ? VAR14 : VAR16;
assign VAR5 = (VAR68 == 1'b1) ? VAR26 : ((VAR20 == 1) ? VAR33 : VAR54);
assign VAR42 = (VAR68 == 1'b1) ? VAR60 : ((VAR20 == 1) ? VAR63 : VAR79);
generate
if (VAR20 == 1) begin
assign VAR54 = 1'b1;
assign VAR79 = 1'b1;
end else begin
VAR89 VAR100 (
.VAR29 (VAR29),
.VAR31 (VAR31),
.VAR62 (VAR49),
.VAR53 (VAR53),
.VAR1 (VAR54),
.VAR45 (VAR79));
end
endgenerate
generate
if (VAR57 == 1) begin
assign VAR41 = VAR31;
assign VAR47 = VAR49;
end else begin
VAR36 #(.VAR93(12)) VAR9 (
.clk (VAR29),
.valid (VAR31),
.VAR97 (VAR49),
.VAR61 (VAR41),
.VAR30 (VAR47),
.VAR3 (VAR50),
.VAR18 (VAR102),
.VAR17 (VAR6));
end
endgenerate
generate
if (VAR57 == 1) begin
assign VAR73 = VAR41;
assign VAR14 = VAR47;
end else begin
VAR88 VAR38 (
.clk (VAR29),
.valid (VAR41),
.VAR97 (VAR47),
.VAR61 (VAR73),
.VAR30 (VAR14),
.VAR58 (VAR4),
.VAR35 (VAR76),
.VAR24 (VAR55));
end
endgenerate
generate
if (VAR57 == 1) begin
assign VAR95 = VAR73;
assign VAR39 = (VAR20 == 1) ? VAR85 : VAR64;
end else begin
VAR86 #(.VAR20(VAR20)) VAR81 (
.clk (VAR29),
.valid (VAR73),
.VAR82 (VAR64),
.VAR32 (VAR85),
.VAR61 (VAR95),
.VAR30 (VAR39),
.VAR13 (VAR91),
.VAR96 (VAR71),
.VAR7 (VAR28));
end
endgenerate
VAR59 #(.VAR90(VAR21)) VAR84 (
.VAR29 (VAR29),
.VAR23 (VAR23),
.VAR72 (VAR72),
.VAR34 (VAR68),
.VAR12 (VAR91),
.VAR52 (VAR4),
.VAR83 (VAR6),
.VAR44 (VAR102),
.VAR22 (VAR50),
.VAR87 (),
.VAR98 (VAR55),
.VAR25 (VAR76),
.VAR43 (VAR71),
.VAR27 (VAR28),
.VAR45 (VAR42),
.VAR1 (VAR5),
.VAR92 (VAR92),
.VAR10 (VAR10),
.VAR37 (VAR37),
.VAR66 (VAR66),
.VAR94 (),
.VAR69 (),
.VAR11 (),
.VAR15 (),
.VAR46 (),
.VAR78 (),
.VAR40 (),
.VAR67 (1'b0),
.VAR75 (1'b1),
.VAR2 (8'd0),
.VAR8 (8'd16),
.VAR65 (8'd16),
.VAR56 (16'd1),
.VAR80 (16'd1),
.VAR19 (VAR19),
.VAR101 (VAR101),
.VAR51 (VAR51),
.VAR74 (VAR74),
.VAR70 (VAR70),
.VAR48 (VAR48),
.VAR77 (VAR77),
.VAR99 (VAR99));
endmodule
|
mit
|
SymbiFlow/yosys
|
techlibs/common/gate2lut.v
| 1,447 |
module MODULE1(
module 90lutnot (VAR4, VAR7);
input VAR4;
output VAR7;
wire [VAR2-1:0] VAR8;
assign VAR8 = {VAR4};
\lut #(
.VAR5(VAR2),
.VAR9(4'b01)
) lut (
.VAR4(VAR8),
.VAR7(VAR7)
);
endmodule
module 90lutor (VAR4, VAR1, VAR7);
input VAR4, VAR1;
output VAR7;
wire [VAR2-1:0] VAR8;
assign VAR8 = {VAR1, VAR4};
\lut #(
.VAR5(VAR2),
.VAR9(4'b1110)
) lut (
.VAR4(VAR8),
.VAR7(VAR7)
);
endmodule
module 90lutand (VAR4, VAR1, VAR7);
input VAR4, VAR1;
output VAR7;
wire [VAR2-1:0] VAR8;
assign VAR8 = {VAR1, VAR4};
\lut #(
.VAR5(VAR2),
.VAR9(4'b1000)
) lut (
.VAR4(VAR8),
.VAR7(VAR7)
);
endmodule
module 90lutxor (VAR4, VAR1, VAR7);
input VAR4, VAR1;
output VAR7;
wire [VAR2-1:0] VAR8;
assign VAR8 = {VAR1, VAR4};
\lut #(
.VAR5(VAR2),
.VAR9(4'b0110)
) lut (
.VAR4(VAR8),
.VAR7(VAR7)
);
endmodule
module 90lutmux (VAR4, VAR1, VAR6, VAR7);
input VAR4, VAR1, VAR6;
output VAR7;
wire [VAR2-1:0] VAR8;
assign VAR8 = {VAR6, VAR1, VAR4};
\lut #(
.VAR5(VAR2),
.VAR9(8'VAR3 11001010)
) lut (
.VAR4(VAR8),
.VAR7(VAR7)
);
endmodule
|
isc
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/sdfbbp/sky130_fd_sc_hs__sdfbbp.pp.blackbox.v
| 1,497 |
module MODULE1 (
VAR5 ,
VAR7 ,
VAR1 ,
VAR8 ,
VAR2 ,
VAR9 ,
VAR4 ,
VAR3,
VAR10 ,
VAR6
);
output VAR5 ;
output VAR7 ;
input VAR1 ;
input VAR8 ;
input VAR2 ;
input VAR9 ;
input VAR4 ;
input VAR3;
input VAR10 ;
input VAR6 ;
endmodule
|
apache-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
|
cells/oai32/gf180mcu_fd_sc_mcu7t5v0__oai32_1.behavioral.pp.v
| 3,497 |
module MODULE1( VAR7, VAR10, VAR5, VAR4, VAR9, VAR3, VAR6, VAR1 );
input VAR5, VAR10, VAR7, VAR9, VAR3;
inout VAR6, VAR1;
output VAR4;
VAR8 VAR2(.VAR7(VAR7),.VAR10(VAR10),.VAR5(VAR5),.VAR4(VAR4),.VAR9(VAR9),.VAR3(VAR3),.VAR6(VAR6),.VAR1(VAR1));
VAR8 VAR11(.VAR7(VAR7),.VAR10(VAR10),.VAR5(VAR5),.VAR4(VAR4),.VAR9(VAR9),.VAR3(VAR3),.VAR6(VAR6),.VAR1(VAR1));
|
apache-2.0
|
SI-RISCV/e200_opensource
|
rtl/e203/general/sirv_gnrl_bufs.v
| 15,360 |
module MODULE6 # (
parameter VAR80 = 0,
parameter VAR93 = 1,
parameter VAR66 = 32
) (
input VAR88,
output VAR44,
input [VAR66-1:0] VAR40,
output VAR87,
input VAR67,
output [VAR66-1:0] VAR45,
input clk,
input VAR94
);
genvar VAR82;
generate
if(VAR93 == 0) begin: VAR11
assign VAR87 = VAR88;
assign VAR44 = VAR67;
assign VAR45 = VAR40;
end else begin: VAR85
wire VAR54;
wire VAR101;
wire VAR18;
wire VAR39;
wire VAR112;
assign VAR54 = VAR88 & VAR44;
assign VAR101 = VAR87 & VAR67;
assign VAR18 = VAR54 | VAR101;
assign VAR112 = VAR54 | (~VAR101);
VAR110 #(1) VAR19 (VAR18, VAR112, VAR39, clk, VAR94);
assign VAR87 = VAR39;
VAR35 #(VAR66) VAR32 (VAR54, VAR40, VAR45, clk);
if(VAR80 == 1) begin:VAR28 assign VAR44 = (~VAR39);
end else begin:VAR59 assign VAR44 = (~VAR39) | VAR101;
end end endgenerate
endmodule
module MODULE3 # (
parameter VAR93 = 2,
parameter VAR66 = 32
) (
input [VAR66-1:0] VAR70,
output [VAR66-1:0] dout,
input VAR94,
input clk
);
wire [VAR66-1:0] VAR20 [VAR93-1:0];
genvar VAR82;
generate
for(VAR82=0;VAR82<VAR93;VAR82=VAR82+1) begin:VAR75
if(VAR82==0) begin:VAR30
VAR81 #(VAR66) VAR21(VAR70, VAR20[0], clk, VAR94);
end
else begin:VAR6
VAR81 #(VAR66) VAR21(VAR20[VAR82-1], VAR20[VAR82], clk, VAR94);
end
end
endgenerate
assign dout = VAR20[VAR93-1];
endmodule
module MODULE1
parameter VAR66 = 32,
parameter VAR72 = 2
) (
input VAR22,
output VAR44,
input [VAR66-1:0] VAR40,
output VAR87,
input VAR67,
output [VAR66-1:0] VAR45,
input clk,
input VAR94
);
wire VAR109;
MODULE3 #(.VAR93(VAR72), .VAR66(1)) VAR53 (
.clk (clk),
.VAR94 (VAR94),
.VAR70 (VAR22),
.dout (VAR109)
);
wire VAR9;
VAR81 #(1) VAR48(VAR109, VAR9, clk, VAR94);
wire VAR103 = (~VAR109) & VAR9;
wire VAR25;
wire VAR76;
wire VAR83 = VAR25 & VAR109 & (~VAR76);
wire VAR92 = VAR103;
wire VAR100 = VAR83 | VAR92;
wire VAR3 = VAR83 | (~VAR92);
VAR110 #(1) VAR104(VAR100, VAR3, VAR76, clk, VAR94);
assign VAR44 = VAR76;
wire VAR68;
wire [VAR66-1:0] VAR79;
wire VAR15 = VAR83;
VAR110 #(VAR66) VAR8(VAR15, VAR40, VAR79, clk, VAR94);
wire VAR1 = VAR15;
wire VAR51 = VAR87 & VAR67;
wire VAR38 = VAR1 | VAR51;
wire VAR78 = VAR1 | (~VAR51);
VAR110 #(1) VAR73(VAR38, VAR78, VAR68, clk, VAR94);
assign VAR25 = (~VAR68);
assign VAR87 = VAR68;
assign VAR45 = VAR79;
endmodule
module MODULE4
parameter VAR66 = 32,
parameter VAR72 = 2
) (
input VAR88,
output VAR44,
input [VAR66-1:0] VAR40,
output VAR87,
input VAR41,
output [VAR66-1:0] VAR45,
input clk,
input VAR94
);
wire VAR91;
MODULE3 #(
.VAR93(VAR72),
.VAR66(1)
) VAR58 (
.clk (clk),
.VAR94 (VAR94),
.VAR70 (VAR41),
.dout (VAR91)
);
wire VAR39;
wire [VAR66-1:0] VAR56;
wire VAR54 = VAR88 & VAR44;
wire VAR101 = VAR87 & VAR91;
wire VAR18 = VAR54 | VAR101;
wire VAR112 = VAR54 | (~VAR101);
VAR110 #(1) VAR19(VAR18, VAR112, VAR39, clk, VAR94);
VAR110 #(VAR66) VAR32(VAR54, VAR40, VAR56, clk, VAR94);
wire VAR69;
VAR81 #(1) VAR77(VAR91, VAR69, clk, VAR94);
wire VAR46 = (~VAR91) & VAR69;
wire VAR95;
wire VAR102 = VAR54;
wire VAR4 = VAR46;
wire VAR55 = VAR102 | VAR4;
wire VAR10 = VAR102 | (~VAR4);
VAR110 #(1) VAR90(VAR55, VAR10, VAR95, clk, VAR94);
assign VAR87 = VAR39;
assign VAR45 = VAR56;
assign VAR44 = (~VAR95) | VAR4;
endmodule
module MODULE5 # (
parameter VAR93 = 8,
parameter VAR66 = 32
) (
input VAR88,
output VAR44,
input [VAR66-1:0] VAR40,
output VAR87,
input VAR67,
output [VAR66-1:0] VAR45,
input clk,
input VAR94
);
wire VAR14;
wire VAR17;
wire [VAR66-1:0] VAR57;
wire VAR26;
wire VAR7;
wire [VAR66-1:0] VAR107;
MODULE2 # (
.VAR93(VAR93),
.VAR66(VAR66),
.VAR80(1)
) VAR99(
.VAR88 (VAR14),
.VAR44 (VAR17),
.VAR40 (VAR57),
.VAR87 (VAR26),
.VAR67 (VAR7),
.VAR45 (VAR107),
.clk (clk ),
.VAR94 (VAR94)
);
assign VAR44 = VAR17;
wire VAR71 = VAR88 & VAR67 & (~VAR26);
assign VAR7 = VAR67;
assign VAR87 = VAR26 | VAR88;
assign VAR45 = VAR26 ? VAR107 : VAR40;
assign VAR57 = VAR40;
assign VAR14 = VAR88 & (~VAR71);
endmodule
module MODULE2 # (
parameter VAR80 = 0,
parameter VAR84 = 0, parameter VAR93 = 8, parameter VAR66 = 32) (
input VAR88,
output VAR44,
input [VAR66-1:0] VAR40,
output VAR87,
input VAR67,
output [VAR66-1:0] VAR45,
input clk,
input VAR94
);
genvar VAR82;
generate
if(VAR93 == 0) begin: VAR24
assign VAR87 = VAR88;
assign VAR44 = VAR67;
assign VAR45 = VAR40;
end else begin: VAR85
wire [VAR66-1:0] VAR36 [VAR93-1:0];
wire [VAR93-1:0] VAR108;
wire VAR64 = VAR88 & VAR44;
wire VAR29 = VAR87 & VAR67;
wire [VAR93-1:0] VAR43;
wire [VAR93-1:0] VAR33;
wire [VAR93-1:0] VAR23;
wire [VAR93-1:0] VAR27;
if(VAR93 == 1) begin:VAR86
assign VAR43 = 1'b1;
end
else begin:VAR49
assign VAR43 =
VAR33[VAR93-1] ? {{VAR93-1{1'b0}}, 1'b1} :
(VAR33 << 1);
end
if(VAR93 == 1) begin:VAR50
assign VAR23 = 1'b1;
end
else begin:VAR61
assign VAR23 =
VAR27[VAR93-1] ? {{VAR93-1{1'b0}}, 1'b1} :
(VAR27 << 1);
end
VAR106 #(1) VAR89 (VAR29, VAR43[0] , VAR33[0] , clk, VAR94);
VAR106 #(1) VAR98 (VAR64, VAR23[0] , VAR27[0] , clk, VAR94);
if(VAR93 > 1) begin:VAR2
VAR110 #(VAR93-1) VAR63 (VAR29, VAR43[VAR93-1:1], VAR33[VAR93-1:1], clk, VAR94);
VAR110 #(VAR93-1) VAR97 (VAR64, VAR23[VAR93-1:1], VAR27[VAR93-1:1], clk, VAR94);
end
wire [VAR93:0] VAR16;
wire [VAR93:0] VAR47;
wire [VAR93:0] VAR65;
wire [VAR93:0] VAR96;
wire VAR42 = (VAR29 ^ VAR64 );
assign VAR65 = VAR64 ? {VAR96[VAR93-1:0], 1'b1} : (VAR96 >> 1);
VAR106 #(1) VAR111 (VAR42, VAR65[0] , VAR96[0] , clk, VAR94);
VAR110 #(VAR93) VAR52 (VAR42, VAR65[VAR93:1], VAR96[VAR93:1], clk, VAR94);
assign VAR16 = {1'b0,VAR96[VAR93:1]};
assign VAR47 = {1'b0,VAR96[VAR93:1]};
if(VAR93 == 1) begin:VAR62 if(VAR80 == 1) begin:VAR28 assign VAR44 = (~VAR16[VAR93-1]);
end else begin:VAR59 assign VAR44 = (~VAR16[VAR93-1]) | VAR29;
end end else begin : VAR105 assign VAR44 = (~VAR16[VAR93-1]);
end
for (VAR82=0; VAR82<VAR93; VAR82=VAR82+1) begin:VAR5 assign VAR108[VAR82] = VAR64 & VAR27[VAR82];
VAR35 #(VAR66) VAR74 (VAR108[VAR82], VAR40, VAR36[VAR82], clk);
end
integer VAR13;
reg [VAR66-1:0] VAR60;
always @*
begin : VAR34 VAR60 = {VAR66{1'b0}};
for(VAR13=0; VAR13<VAR93; VAR13=VAR13+1) begin
VAR60 = VAR60 | ({VAR66{VAR33[VAR13]}} & VAR36[VAR13]);
end
end
if(VAR84 == 1) begin:VAR12 assign VAR45 = {VAR66{VAR87}} & VAR60;
end else begin:VAR37 assign VAR45 = VAR60;
end
assign VAR87 = (VAR47[0]);
VAR31
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/o2111a/sky130_fd_sc_ms__o2111a.behavioral.pp.v
| 2,074 |
module MODULE1 (
VAR2 ,
VAR12 ,
VAR8 ,
VAR11 ,
VAR7 ,
VAR6 ,
VAR5,
VAR10,
VAR18 ,
VAR4
);
output VAR2 ;
input VAR12 ;
input VAR8 ;
input VAR11 ;
input VAR7 ;
input VAR6 ;
input VAR5;
input VAR10;
input VAR18 ;
input VAR4 ;
wire VAR15 ;
wire VAR17 ;
wire VAR9;
or VAR16 (VAR15 , VAR8, VAR12 );
and VAR14 (VAR17 , VAR11, VAR7, VAR15, VAR6 );
VAR13 VAR3 (VAR9, VAR17, VAR5, VAR10);
buf VAR1 (VAR2 , VAR9 );
endmodule
|
apache-2.0
|
trivoldus28/pulsarch-verilog
|
design/sys/iop/pads/pad_misc/rtl/bw_io_hstl_pad.v
| 4,774 |
module MODULE1(VAR44 ,VAR56 ,VAR15 ,VAR31 ,VAR49 ,clk ,VAR2
,VAR9 ,VAR12 ,VAR45 ,VAR59 ,VAR61 ,VAR38 ,
VAR14 ,VAR50 ,VAR60 ,VAR7 ,VAR10 ,VAR34 ,VAR29 ,VAR27 ,VAR18 ,
VAR57 ,VAR66, VAR43 );
input [5:4] VAR56 ;
output VAR44 ;
output VAR61 ;
output VAR29 ;
input VAR15 ;
input VAR31 ;
input VAR49 ;
input clk ;
input VAR2 ;
input VAR9 ;
input VAR12 ;
input VAR45 ;
input VAR59 ;
input VAR38 ;
input VAR14 ;
input VAR50 ;
input VAR60 ;
input VAR7 ;
input VAR10 ;
input VAR34 ;
input VAR27 ;
input VAR57 ;
input VAR66 ;
inout VAR18 ;
input VAR43 ;
supply1 VAR62 ;
supply0 VAR51 ;
wire VAR13 ;
wire VAR63 ;
wire VAR37 ;
wire VAR40 ;
wire VAR8 ;
wire VAR4 ;
wire VAR46 ;
wire VAR42 ;
wire VAR1 ;
wire VAR22 ;
wire VAR41 ;
wire VAR58 ;
wire VAR30 ;
wire VAR17 ;
wire VAR64 ;
VAR54 VAR28 (
.VAR42 (VAR42 ),
.VAR18 (VAR18 ),
.clk (clk ),
.VAR58 (VAR58 ),
.ref (VAR31 ),
.VAR13 (VAR13 ),
.VAR44 (VAR44 ),
.VAR63 (VAR63 ),
.out (VAR29 ),
.VAR43 (VAR43) );
VAR21 VAR3 (
.VAR56 ({VAR56 } ),
.VAR66 (VAR66 ),
.VAR17 (VAR40 ),
.VAR8 (VAR4 ),
.VAR64 (VAR64 ),
.VAR30 (VAR30 ),
.VAR7 (VAR7 ),
.VAR10 (VAR10 ),
.clk (clk ),
.VAR27 (VAR27 ),
.VAR34 (VAR34 ),
.VAR5 (VAR50 ),
.VAR57 (VAR57 ),
.VAR2 (VAR2 ),
.VAR1 (VAR1 ),
.VAR60 (VAR60 ),
.VAR22 (VAR22 ),
.VAR13 (VAR13 ),
.VAR58 (VAR58 ),
.VAR42 (VAR42 ),
.VAR63 (VAR63 ) );
VAR32 VAR53 (
.VAR25 ({VAR51 ,VAR51 ,VAR51 ,VAR51 ,VAR62 ,VAR62 ,VAR62 ,VAR62 } ),
.VAR47 ({VAR51 ,VAR51 ,VAR51 ,VAR51 ,VAR62 ,VAR62 ,VAR62 ,VAR62 } ),
.VAR1 (VAR1 ),
.VAR8 (VAR8 ),
.VAR17 (VAR17 ),
.VAR64 (VAR64 ),
.VAR41 (VAR41 ),
.VAR30 (VAR30 ),
.VAR18 (VAR18 ),
.VAR43 (VAR43) );
VAR39 VAR23 (
.VAR22 (VAR22 ),
.VAR9 (VAR9 ),
.VAR45 (VAR45 ),
.VAR14 (VAR14 ),
.VAR59 (VAR59 ),
.VAR12 (VAR12 ),
.VAR15 (VAR15 ),
.VAR49 (VAR49 ),
.VAR52 (VAR62 ),
.VAR65 (VAR61 ),
.VAR34 (VAR34 ),
.VAR50 (VAR50 ),
.VAR38 (VAR38 ),
.VAR35 (VAR17 ),
.VAR55 (VAR51 ),
.VAR41 (VAR41 ),
.VAR46 (VAR46 ),
.VAR11 (VAR62 ),
.VAR4 (VAR4 ),
.VAR26 (VAR8 ),
.VAR6 (VAR37 ),
.VAR40 (VAR40 ),
.VAR36 (),
.VAR48 (VAR51),
.clk (VAR51),
.VAR67 (VAR51),
.VAR16 (VAR51),
.VAR20 (VAR51),
.VAR19 (VAR51) );
VAR24 VAR33 (
.VAR43 (VAR43 ),
.out (VAR46 ),
.in (VAR18 ) );
endmodule
|
gpl-2.0
|
Raamakrishnan/MyProc
|
MyProc2/EXE.v
| 3,759 |
module MODULE1 (
input wire clk,
input wire [VAR13 - 1:0] VAR10,
input wire [VAR13 - 3:0] VAR1,
input wire [VAR13 - 1:0] VAR22,
input wire [VAR13 - 1:0] VAR7,
output wire [VAR13 - 1:0] VAR17,
output wire [VAR13 - 3:0] VAR25,
output reg [VAR13 - 1:0] VAR33,
output reg [VAR13 - 1:0] VAR19,
input wire VAR26,
output wire VAR2,
output reg [VAR13 - 3:0] VAR29,
output reg VAR18, output reg VAR6, output reg VAR30, output reg VAR28 );
wire [5:0] VAR14;
wire [4:0] VAR4;
wire [15:0] VAR31;
reg VAR32;
assign VAR14 = VAR10[31:26];
assign VAR4 = VAR10[10:6];
assign VAR31 = VAR10[15:0];
assign VAR17 = (VAR26 === 1)?VAR17:VAR10;
assign VAR25 = (VAR26 === 1)?VAR25:VAR1;
assign VAR2 = (VAR32 == 1)?1:0;
reg [VAR13:0] VAR3;
always @(VAR10) begin
if (VAR26) begin
end
else begin
VAR32 = 0;
case(VAR14)
VAR3 = (VAR22 + VAR7);
VAR33 = VAR3;
VAR8(VAR22, VAR7, VAR3, 0);
end
VAR3 = VAR22 - VAR7;
VAR33 = VAR3;
VAR8(VAR22, VAR7, VAR3, 1);
end
VAR23, VAR9: begin
VAR3 = VAR22 & VAR7;
VAR33 = VAR3;
VAR30 = ~|VAR3;
end
VAR27, VAR16: begin
VAR3 = VAR22 | VAR7;
VAR33 = VAR3;
VAR30 = ~|VAR3;
end
VAR15: begin
VAR3 = VAR22 ^ VAR7;
VAR33 = VAR3;
VAR30 = ~|VAR3;
end
VAR3 = VAR22 << VAR4;
VAR33 = VAR3;
VAR18 = VAR22[VAR13 - VAR4 - 1];
VAR30 = ~|VAR3;
end
VAR3 = VAR22 >> VAR4;
VAR33 = VAR3;
VAR18 = VAR22[VAR4];
VAR30 = ~|VAR3;
end
VAR3 = VAR22 >> 1;
VAR3 = {VAR22[VAR13-1], VAR3[15:0]};
VAR33 = VAR3;
VAR18 = VAR22[0];
VAR30 = ~|VAR3;
end
VAR3 = VAR22 << VAR7;
VAR33 = VAR3;
VAR18 = VAR22[VAR13 - VAR7 - 1];
VAR30 = ~|VAR3;
end
VAR3 = VAR22 >> VAR7;
VAR33 = VAR3;
VAR18 = VAR22[VAR7];
VAR30 = ~|VAR3;
end
VAR33 = VAR7 << 16;
end
VAR19 = VAR22 + VAR7;
end
VAR19 = VAR7 + VAR5(VAR31);
VAR33 = VAR22;
end
if(VAR22 == 0)
VAR24(VAR1 + (VAR7));
end
if(VAR22 != 0)
VAR24(VAR1 + (VAR7));
end
if((VAR22) > 0)
VAR24(VAR1 + (VAR7));
end
if((VAR22) < 0)
VAR24(VAR1 + (VAR7));
end
if((VAR22) <= 0)
VAR24(VAR1 + (VAR7));
end
if((VAR22) >= 0)
VAR24(VAR1 + (VAR7));
end
VAR24(VAR22);
end
VAR24(VAR22);
VAR33 = VAR22;
end
VAR24((VAR1 + VAR7));
end
VAR33 = VAR22 + VAR7;
VAR24(VAR33);
end
end
default: begin
if(VAR14 !== 6'VAR20)
end
endcase
end
end
task VAR24(input [VAR13-1:0] addr);
begin
VAR32 = 1;
VAR29 = addr;
end
endtask
task VAR8(
input [VAR13-1:0] VAR12, VAR21,
input [VAR13:0] VAR3,
input VAR11);
begin
VAR18 = VAR3[VAR13];
VAR30 = ~|VAR3;
VAR28 = VAR3[VAR13-1];
VAR6 = ( VAR3[VAR13-1] & VAR12[VAR13-1] & ~(VAR11 ^ VAR21[VAR13-1])) | (~VAR3[VAR13-1] & VAR12[VAR13-1] & (VAR11 ^ VAR21[VAR13-1]));
end
endtask
function [VAR13-1:0] VAR5(
input [15:0] din);
begin
VAR5[VAR13-1:0] = {{(VAR13-16){din[15]}}, din};
end
endfunction
endmodule
|
mit
|
nliu96/openHMC_Altera
|
src/openhmc_16x_rf.v
| 19,009 |
module MODULE1 (
input wire clk,
input wire VAR27,
input wire[6:3] address,
output reg VAR65,
output reg VAR77,
input wire VAR6,
output reg[63:0] VAR46,
input wire VAR39,
input wire[63:0] VAR19,
input wire VAR2,
input wire VAR16,
input wire VAR51,
input wire VAR71,
input wire VAR68,
input wire[9:0] VAR34,
input wire[9:0] VAR72,
input wire[15:0] VAR37,
input wire[15:0] VAR18,
input wire[15:0] VAR35,
input wire[15:0] VAR44,
input wire VAR43,
input wire[1:0] VAR12,
input wire VAR30,
output reg VAR55,
output reg VAR8,
output reg VAR53,
output reg VAR4,
output reg VAR41,
output reg[2:0] VAR9,
output reg VAR5,
output reg VAR50,
output reg VAR13,
output reg[9:0] VAR10,
output reg[4:0] VAR3,
output reg[4:0] VAR7,
output reg[5:0] VAR22,
input wire[63:0] VAR60,
input wire[63:0] VAR42,
input wire[63:0] VAR78,
input wire[63:0] VAR48,
input wire[63:0] VAR75,
input wire VAR57,
input wire VAR21,
input wire VAR61,
input wire VAR52
);
reg VAR32;
reg VAR28;
reg VAR17;
reg VAR66;
reg VAR76;
reg[9:0] VAR23;
reg[9:0] VAR33;
reg[15:0] VAR74;
reg[15:0] VAR56;
reg[15:0] VAR24;
reg[15:0] VAR70;
reg VAR47;
reg[1:0] VAR15;
reg VAR67;
reg[63:0] VAR14;
reg[63:0] VAR20;
reg[63:0] VAR62;
reg[63:0] VAR36;
reg[63:0] VAR31;
reg VAR59;
wire[31:0] VAR69;
wire[31:0] VAR38;
wire[31:0] VAR25;
wire[31:0] VAR58;
VAR26 #(
.VAR49(32)
) VAR45 (
.clk(clk),
.VAR27(VAR27),
.VAR40(VAR57),
.VAR29(32'b0),
.VAR63(VAR59),
.VAR11(VAR69)
);
VAR26 #(
.VAR49(32)
) VAR1 (
.clk(clk),
.VAR27(VAR27),
.VAR40(VAR21),
.VAR29(32'b0),
.VAR63(VAR59),
.VAR11(VAR38)
);
VAR26 #(
.VAR49(32)
) VAR73 (
.clk(clk),
.VAR27(VAR27),
.VAR40(VAR61),
.VAR29(32'b0),
.VAR63(VAR59),
.VAR11(VAR25)
);
VAR26 #(
.VAR49(32)
) VAR54 (
.clk(clk),
.VAR27(VAR27),
.VAR40(VAR52),
.VAR29(32'b0),
.VAR63(VAR59),
.VAR11(VAR58)
);
always @(posedge clk or negedge VAR27) else
always @(posedge clk) VAR64
begin
if(!VAR27)
begin
VAR32 <= 1'h0;
VAR28 <= 1'h0;
VAR17 <= 1'h0;
VAR66 <= 1'h0;
VAR76 <= 1'h0;
VAR23 <= 10'h0;
VAR33 <= 10'h0;
VAR74 <= 0;
end
else
begin
VAR32 <= VAR2;
VAR28 <= VAR16;
VAR17 <= VAR51;
VAR66 <= VAR71;
VAR76 <= VAR68;
VAR23 <= VAR34;
VAR33 <= VAR72;
VAR74 <= VAR37;
end
end
always @(posedge clk or negedge VAR27) else
always @(posedge clk) VAR64
begin
if(!VAR27)
begin
VAR56 <= 0;
VAR24 <= 0;
VAR70 <= 0;
VAR47 <= 1'h0;
VAR15 <= 2'h0;
VAR67 <= 1'h0;
end
else
begin
VAR56 <= VAR18;
VAR24 <= VAR35;
VAR70 <= VAR44;
VAR47 <= VAR43;
VAR15 <= VAR12;
VAR67 <= VAR30;
end
end
always @(posedge clk or negedge VAR27) else
always @(posedge clk) VAR64
begin
if(!VAR27)
begin
VAR55 <= 1'h0;
VAR8 <= 1'b0;
VAR53 <= 1'h0;
VAR4 <= 1'h0;
VAR41 <= 1'h0;
VAR9 <= 3'h0;
VAR5 <= 1'h0;
VAR50 <= 1'h0;
VAR13 <= 1'h0;
VAR10 <= 100;
VAR3 <= 5'h10;
VAR7 <= 5'h16;
VAR22 <= 6'h28;
end
else
begin
if((address[6:3] == 2) && VAR39)
begin
VAR55 <= VAR19[0:0];
end
if((address[6:3] == 2) && VAR39)
begin
VAR8 <= VAR19[1:1];
end
if((address[6:3] == 2) && VAR39)
begin
VAR53 <= VAR19[2:2];
end
if((address[6:3] == 2) && VAR39)
begin
VAR4 <= VAR19[3:3];
end
if((address[6:3] == 2) && VAR39)
begin
VAR41 <= VAR19[4:4];
end
if((address[6:3] == 2) && VAR39)
begin
VAR9 <= VAR19[7:5];
end
if((address[6:3] == 2) && VAR39)
begin
VAR5 <= VAR19[8:8];
end
if((address[6:3] == 2) && VAR39)
begin
VAR50 <= VAR19[9:9];
end
if((address[6:3] == 2) && VAR39)
begin
VAR13 <= VAR19[10:10];
end
if((address[6:3] == 2) && VAR39)
begin
VAR10 <= VAR19[25:16];
end
if((address[6:3] == 2) && VAR39)
begin
VAR3 <= VAR19[36:32];
end
if((address[6:3] == 2) && VAR39)
begin
VAR7 <= VAR19[44:40];
end
if((address[6:3] == 2) && VAR39)
begin
VAR22 <= VAR19[53:48];
end
end
end
always @(posedge clk or negedge VAR27) else
always @(posedge clk) VAR64
begin
if(!VAR27)
begin
VAR14 <= 64'h0;
end
else
begin
VAR14 <= VAR60;
end
end
always @(posedge clk or negedge VAR27) else
always @(posedge clk) VAR64
begin
if(!VAR27)
begin
VAR20 <= 64'h0;
end
else
begin
VAR20 <= VAR42;
end
end
always @(posedge clk or negedge VAR27) else
always @(posedge clk) VAR64
begin
if(!VAR27)
begin
VAR62 <= 64'h0;
end
else
begin
VAR62 <= VAR78;
end
end
always @(posedge clk or negedge VAR27) else
always @(posedge clk) VAR64
begin
if(!VAR27)
begin
VAR36 <= 64'h0;
end
else
begin
VAR36 <= VAR48;
end
end
always @(posedge clk or negedge VAR27) else
always @(posedge clk) VAR64
begin
if(!VAR27)
begin
VAR31 <= 64'h0;
end
else
begin
VAR31 <= VAR75;
end
end
always @(posedge clk or negedge VAR27) else
always @(posedge clk) VAR64
begin
if(!VAR27)
begin
VAR59 <= 1'b0;
end
else
begin
if((address[6:3] == 8) && VAR39)
begin
VAR59 <= 1'b1;
end
else
begin
VAR59 <= 1'b0;
end
end
end
always @(posedge clk or negedge VAR27) else
always @(posedge clk) VAR64
begin
if(!VAR27)
begin
VAR65 <= 1'b0;
VAR77 <= 1'b0;
VAR46 <= 64'b0;
end
else
begin
casex(address[6:3])
4'h0:
begin
VAR46[0:0] <= VAR32;
VAR46[1:1] <= VAR28;
VAR46[2:2] <= VAR17;
VAR46[3:3] <= VAR66;
VAR46[8:8] <= VAR76;
VAR46[25:16] <= VAR23;
VAR46[41:32] <= VAR33;
VAR46[63:48] <= VAR74;
VAR65 <= VAR39;
VAR77 <= VAR6 || VAR39;
end
4'h1:
begin
VAR46[15:0] <= VAR56;
VAR46[31:16] <= VAR24;
VAR46[47:32] <= VAR70;
VAR46[48:48] <= VAR47;
VAR46[50:49] <= VAR15;
VAR46[51:51] <= VAR67;
VAR46[63:52] <= 12'b0;
VAR65 <= VAR39;
VAR77 <= VAR6 || VAR39;
end
4'h2:
begin
VAR46[0:0] <= VAR55;
VAR46[1:1] <= VAR8;
VAR46[2:2] <= VAR53;
VAR46[3:3] <= VAR4;
VAR46[4:4] <= VAR41;
VAR46[7:5] <= VAR9;
VAR46[8:8] <= VAR5;
VAR46[9:9] <= VAR50;
VAR46[10:10] <= VAR13;
VAR46[25:16] <= VAR10;
VAR46[36:32] <= VAR3;
VAR46[44:40] <= VAR7;
VAR46[53:48] <= VAR22;
VAR46[63:54] <= 10'b0;
VAR65 <= 1'b0;
VAR77 <= VAR6 || VAR39;
end
4'h3:
begin
VAR46[63:0] <= VAR14;
VAR65 <= VAR39;
VAR77 <= VAR6 || VAR39;
end
4'h4:
begin
VAR46[63:0] <= VAR20;
VAR65 <= VAR39;
VAR77 <= VAR6 || VAR39;
end
4'h5:
begin
VAR46[63:0] <= VAR62;
VAR65 <= VAR39;
VAR77 <= VAR6 || VAR39;
end
4'h6:
begin
VAR46[63:0] <= VAR36;
VAR65 <= VAR39;
VAR77 <= VAR6 || VAR39;
end
4'h7:
begin
VAR46[63:0] <= VAR31;
VAR65 <= VAR39;
VAR77 <= VAR6 || VAR39;
end
4'h8:
begin
VAR46[63:0] <= 64'b0;
VAR65 <= VAR6;
VAR77 <= VAR6 || VAR39;
end
4'h9:
begin
VAR46[31:0] <= VAR69;
VAR46[63:32] <= 32'b0;
VAR65 <= VAR39;
VAR77 <= VAR6 || VAR39;
end
4'ha:
begin
VAR46[31:0] <= VAR38;
VAR46[63:32] <= 32'b0;
VAR65 <= VAR39;
VAR77 <= VAR6 || VAR39;
end
4'hb:
begin
VAR46[31:0] <= VAR25;
VAR46[63:32] <= 32'b0;
VAR65 <= VAR39;
VAR77 <= VAR6 || VAR39;
end
4'hc:
begin
VAR46[31:0] <= VAR58;
VAR46[63:32] <= 32'b0;
VAR65 <= VAR39;
VAR77 <= VAR6 || VAR39;
end
default:
begin
VAR65 <= VAR6 || VAR39;
VAR77 <= VAR6 || VAR39;
end
endcase
end
end
endmodule
|
lgpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/o22ai/sky130_fd_sc_ms__o22ai.symbol.v
| 1,371 |
module MODULE1 (
input VAR1,
input VAR8,
input VAR7,
input VAR9,
output VAR2
);
supply1 VAR3;
supply0 VAR6;
supply1 VAR5 ;
supply0 VAR4 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/a221o/sky130_fd_sc_hs__a221o.symbol.v
| 1,358 |
module MODULE1 (
input VAR8,
input VAR3,
input VAR6,
input VAR4,
input VAR5,
output VAR2
);
supply1 VAR7;
supply0 VAR1;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/or4b/sky130_fd_sc_hd__or4b_2.v
| 2,291 |
module MODULE1 (
VAR8 ,
VAR7 ,
VAR4 ,
VAR9 ,
VAR1 ,
VAR6,
VAR10,
VAR2 ,
VAR5
);
output VAR8 ;
input VAR7 ;
input VAR4 ;
input VAR9 ;
input VAR1 ;
input VAR6;
input VAR10;
input VAR2 ;
input VAR5 ;
VAR11 VAR3 (
.VAR8(VAR8),
.VAR7(VAR7),
.VAR4(VAR4),
.VAR9(VAR9),
.VAR1(VAR1),
.VAR6(VAR6),
.VAR10(VAR10),
.VAR2(VAR2),
.VAR5(VAR5)
);
endmodule
module MODULE1 (
VAR8 ,
VAR7 ,
VAR4 ,
VAR9 ,
VAR1
);
output VAR8 ;
input VAR7 ;
input VAR4 ;
input VAR9 ;
input VAR1;
supply1 VAR6;
supply0 VAR10;
supply1 VAR2 ;
supply0 VAR5 ;
VAR11 VAR3 (
.VAR8(VAR8),
.VAR7(VAR7),
.VAR4(VAR4),
.VAR9(VAR9),
.VAR1(VAR1)
);
endmodule
|
apache-2.0
|
asicguy/gplgpu
|
hdl/altera_ddr3/ddr3_int_phy_alt_mem_phy_pll_bb.v
| 17,726 |
module MODULE1 (
VAR13,
VAR9,
VAR7,
VAR4,
VAR5,
VAR11,
VAR12,
VAR2,
VAR6,
VAR8,
VAR1,
VAR14,
VAR3,
VAR10);
input VAR13;
input VAR9;
input [3:0] VAR7;
input VAR4;
input VAR5;
input VAR11;
output VAR12;
output VAR2;
output VAR6;
output VAR8;
output VAR1;
output VAR14;
output VAR3;
output VAR10;
tri0 VAR13;
tri0 [3:0] VAR7;
tri0 VAR4;
tri0 VAR5;
endmodule
|
gpl-3.0
|
ucb-bar/chipyard
|
generators/chipyard/src/main/resources/vsrc/GCDMMIOBlackBox.v
| 1,332 |
module MODULE1
(
input VAR8,
input reset,
output VAR2,
input VAR9,
input [VAR12-1:0] VAR11,
input [VAR12-1:0] VAR3,
input VAR1,
output VAR14,
output reg [VAR12-1:0] VAR4,
output VAR13
);
localparam VAR6 = 2'b00, VAR10 = 2'b01, VAR7 = 2'b10;
reg [1:0] state;
reg [VAR12-1:0] VAR5;
assign VAR2 = state == VAR6;
assign VAR14 = state == VAR7;
assign VAR13 = state != VAR6;
always @(posedge VAR8) begin
if (reset)
state <= VAR6;
end
else if (state == VAR6 && VAR9)
state <= VAR10;
else if (state == VAR10 && VAR5 == 0)
state <= VAR7;
else if (state == VAR7 && VAR1)
state <= VAR6;
end
always @(posedge VAR8) begin
if (state == VAR6 && VAR9) begin
VAR4 <= VAR11;
VAR5 <= VAR3;
end else if (state == VAR10) begin
if (VAR4 > VAR5)
VAR4 <= VAR4 - VAR5;
end
else
VAR5 <= VAR5 - VAR4;
end
end
endmodule
|
bsd-3-clause
|
ShepardSiegel/ocpi
|
coregen/temac_axi_v5_2/example_design/pat_gen/axi_pipe.v
| 6,183 |
module MODULE1 (
input VAR36,
input VAR38,
input [7:0] VAR13,
input VAR11,
input VAR21,
output VAR25,
output [7:0] VAR2,
output VAR7,
output VAR6,
input VAR34
);
reg [5:0] VAR30;
reg [5:0] VAR17;
reg VAR1;
reg VAR27;
reg VAR10;
wire [1:0] VAR5;
wire [1:0] VAR33;
assign VAR25 = VAR27;
assign VAR7 = VAR10;
always @(VAR11 or VAR27)
begin
VAR1 = VAR11 & VAR27;
end
always @(posedge VAR36)
begin
if (!VAR38) begin
VAR17 <= 0;
end
else begin
if (VAR11 & VAR27)
VAR17 <= VAR17 + 1;
end
end
always @(posedge VAR36)
begin
if (!VAR38) begin
VAR30 <= 0;
end
else begin
if (VAR10 & VAR34)
VAR30 <= VAR30 + 1;
end
end
assign VAR5 = VAR17[5:4];
assign VAR33 = VAR30[5:4]-1;
always @(posedge VAR36)
begin
if (!VAR38) begin
VAR27 <= 0;
end
else begin
if (VAR5 == VAR33)
VAR27 <= 0;
end
else
VAR27 <= 1;
end
end
always @(VAR30 or VAR17)
begin
if (VAR30 == VAR17)
VAR10 <= 0;
end
else
VAR10 <= 1;
end
genvar VAR15;
generate
for (VAR15=0; VAR15<=7; VAR15=VAR15+1) begin
VAR3 VAR9 (
.VAR31 (VAR2[VAR15]),
.VAR16 (),
.VAR26 (VAR17[0]),
.VAR32 (VAR17[1]),
.VAR18 (VAR17[2]),
.VAR23 (VAR17[3]),
.VAR35 (VAR17[4]),
.VAR22 (VAR17[5]),
.VAR29 (VAR13[VAR15]),
.VAR8 (VAR30[0]),
.VAR28 (VAR30[1]),
.VAR14 (VAR30[2]),
.VAR12 (VAR30[3]),
.VAR24 (VAR30[4]),
.VAR37 (VAR30[5]),
.VAR4 (VAR36),
.VAR20 (VAR1)
);
end
endgenerate
VAR3 VAR19 (
.VAR31 (VAR6),
.VAR16 (),
.VAR26 (VAR17[0]),
.VAR32 (VAR17[1]),
.VAR18 (VAR17[2]),
.VAR23 (VAR17[3]),
.VAR35 (VAR17[4]),
.VAR22 (VAR17[5]),
.VAR29 (VAR21),
.VAR8 (VAR30[0]),
.VAR28 (VAR30[1]),
.VAR14 (VAR30[2]),
.VAR12 (VAR30[3]),
.VAR24 (VAR30[4]),
.VAR37 (VAR30[5]),
.VAR4 (VAR36),
.VAR20 (VAR1)
);
endmodule
|
lgpl-3.0
|
cpulabs/mist1032isa
|
src/core/execute/execute_exception.v
| 4,913 |
module MODULE1(
input wire VAR28,
input wire VAR4,
input wire VAR1,
input wire VAR3,
input wire VAR36,
input wire VAR32,
input wire VAR14,
input wire VAR6,
input wire VAR25,
input wire VAR19,
input wire VAR2,
input wire VAR31,
input wire [31:0] VAR23,
input wire VAR22,
input wire [6:0] VAR11,
input wire VAR18,
input wire [6:0] VAR34,
output wire VAR16,
output wire [6:0] VAR21,
output wire [31:0] VAR5,
output wire [31:0] VAR35
);
reg [31:0] VAR27;
reg VAR30;
always@(posedge VAR28 or negedge VAR4)begin
if(!VAR4)begin
VAR27 <= 32'h0;
VAR30 <= 1'b0;
end
else if(VAR1 || VAR3)begin
VAR27 <= 32'h0;
VAR30 <= 1'b0;
end
else begin
if(VAR2 && VAR25)begin
VAR27 <= VAR23;
VAR30 <= VAR31;
end
end
end
function [31:0] VAR24;
input [6:0] VAR29;
input [31:0] VAR13;
begin
if(VAR29 == VAR26 || VAR29 == VAR39 ||
VAR29 == VAR8 || VAR29 == VAR33)begin
VAR24 = VAR13 - 32'h4;
end
else begin
VAR24 = 32'h0;
end
end
endfunction
function [31:0] VAR12;
input [6:0] VAR29;
input [31:0] VAR13;
input VAR10;
begin
if(VAR29 == VAR26)begin
VAR12 = {28'h0, 1'b1, !VAR10, 1'b0, 1'b1};
end
else if(VAR29 == VAR39)begin
VAR12 = 32'h0;
end
else if(VAR29 == VAR8)begin
VAR12 = VAR13 - 32'h4;
end
else if(VAR29 == VAR33)begin
VAR12 = VAR13 - 32'h4;
end
else begin
VAR12 = 32'h0;
end
end
endfunction
wire VAR41 = VAR25 && VAR2 && VAR22;
wire VAR37 = VAR19 && VAR18;
reg VAR17;
localparam VAR9 = 1'b0;
localparam VAR38 = 1'b1;
always@(posedge VAR28 or negedge VAR4)begin
if(!VAR4)begin
VAR17 <= VAR9;
end
else if(VAR1 || VAR3)begin
VAR17 <= VAR9;
end
else begin
case(VAR17)
VAR9:
begin
if(VAR41 || VAR37)begin
VAR17 <= VAR38;
end
end
VAR38:
begin
VAR17 <= VAR17;
end
endcase
end
end
reg VAR7;
reg [6:0] VAR15;
reg [31:0] VAR40;
reg [31:0] VAR20;
always@(posedge VAR28 or negedge VAR4)begin
if(!VAR4)begin
VAR7 <= 1'b0;
end
else if(VAR1 || VAR3)begin
VAR7 <= 1'b0;
end
else begin
if(VAR41)begin
VAR7 <= 1'b1;
end
else if(VAR37)begin
VAR7 <= 1'b1;
end
else begin
VAR7 <= 1'b0;
end
end
end
always@(posedge VAR28 or negedge VAR4)begin
if(!VAR4)begin
VAR15 <= 7'h0;
VAR40 <= 32'h0;
VAR40 <= 32'h1;
end
else if(VAR1 || VAR3)begin
VAR15 <= 7'h0;
VAR40 <= 32'h0;
VAR40 <= 32'h1;
end
else begin
if(VAR41)begin
VAR15 <= VAR11;
VAR40 <= VAR24(VAR11, VAR23);
VAR20 <= VAR12(VAR11, VAR23, VAR31);
end
else if(VAR37)begin
VAR15 <= VAR34;
VAR40 <= VAR27 - 32'h4;
VAR20 <= {28'h0, 1'b0, !VAR30, 1'b0, 1'b0};
end
end
end
assign VAR16 = VAR7;
assign VAR21 = VAR15;
assign VAR5 = VAR40;
assign VAR35 = VAR20;
endmodule
|
bsd-2-clause
|
hakehuang/pycpld
|
ips/ip/spi_slave_b2b_reduced/spi_slave_b2b_act_l_reduced.v
| 2,971 |
module MODULE1(
clk,VAR13,VAR3,VAR2,VAR11,VAR15,VAR7
);
input clk;
input VAR15;
input VAR13,VAR3,VAR11;
output VAR2;
output VAR7;
reg VAR7;
reg VAR9;
reg [7:0] VAR4;
reg [7:0] VAR16;
reg [7:0] VAR6;
wire VAR8;
wire VAR10;
wire VAR12;
wire VAR5;
wire VAR17;
reg VAR1, VAR14;
always@(posedge clk)
begin
if(!VAR15) begin
VAR1 <= 1'b0;
VAR14 <= 1'b0;
end
else begin
VAR1 <= VAR13;
VAR14 <= VAR1;
end
end
assign VAR10 = VAR1 & (~VAR14);
assign VAR12 = ~VAR1 & (VAR14);
always @(posedge clk or negedge VAR15)begin
if(!VAR15)
VAR9 <= 1'h1;
end
else
VAR9 <= VAR11;
end
assign VAR8 = (~VAR9) ? 1'b1 : 1'b0;
always @(posedge clk or negedge VAR15) begin
if(!VAR15) begin
VAR4 <= 8'h1;
VAR16 <= 8'h2;
VAR6 <= 8'h0;
end
else begin
if(VAR8 && VAR12)
begin
if(VAR16 == 8'h41)
VAR16 <= 8'h1;
if (VAR6 == 8'h7f)
begin
VAR4 <= VAR16;
VAR6 <= {VAR6[6:0], 1'b1};
end
else
VAR4 <= {VAR4[6:0], 1'b0};
VAR6 <= {VAR6[6:0], 1'b1};
end
else begin
if(VAR8 && VAR10) begin
if(VAR6 == 8'hff)
begin
VAR6 <= 8'h0;
VAR16 <= VAR16 + 1;
end
end
end
end
end
assign VAR2 = VAR4[7];
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/sdfxtp/sky130_fd_sc_ls__sdfxtp.symbol.v
| 1,410 |
module MODULE1 (
input VAR1 ,
output VAR9 ,
input VAR2,
input VAR6,
input VAR8
);
supply1 VAR5;
supply0 VAR4;
supply1 VAR7 ;
supply0 VAR3 ;
endmodule
|
apache-2.0
|
UGent-HES/ConnectionRouter
|
vtr_flow/benchmarks/arithmetic/generated_circuits/multless_consts/verilog/mult_083.v
| 1,550 |
module MODULE2 (
VAR9,
VAR13
);
input [31:0] VAR9;
output [31:0]
VAR13;
wire [31:0]
VAR1,
VAR2,
VAR3,
VAR6,
VAR8,
VAR12,
VAR4,
VAR7,
VAR11,
VAR10;
assign VAR1 = VAR9;
assign VAR7 = VAR4 << 4;
assign VAR10 = VAR11 << 2;
assign VAR12 = VAR1 << 5;
assign VAR3 = VAR2 - VAR1;
assign VAR2 = VAR1 << 6;
assign VAR11 = VAR8 - VAR7;
assign VAR8 = VAR6 - VAR3;
assign VAR6 = VAR1 << 13;
assign VAR4 = VAR3 + VAR12;
assign VAR13 = VAR10;
endmodule
module MODULE1(
VAR9,
VAR13,
clk
);
input [31:0] VAR9;
output [31:0] VAR13;
reg [31:0] VAR13;
input clk;
reg [31:0] VAR14;
wire [30:0] VAR5;
always @(posedge clk) begin
VAR14 <= VAR9;
VAR13 <= VAR5;
end
MODULE2 MODULE1(
.VAR9(VAR14),
.VAR13(VAR5)
);
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/dlrtn/sky130_fd_sc_hdll__dlrtn.behavioral.v
| 2,407 |
module MODULE1 (
VAR21 ,
VAR9,
VAR2 ,
VAR10
);
output VAR21 ;
input VAR9;
input VAR2 ;
input VAR10 ;
supply1 VAR12;
supply0 VAR5;
supply1 VAR22 ;
supply0 VAR7 ;
wire VAR1 ;
wire VAR13 ;
reg VAR11 ;
wire VAR8 ;
wire VAR14 ;
wire VAR24 ;
wire VAR3;
wire VAR16 ;
wire VAR19 ;
wire VAR6 ;
wire VAR15 ;
not VAR18 (VAR1 , VAR3 );
not VAR17 (VAR13 , VAR14 );
VAR4 VAR20 (VAR16 , VAR8, VAR13, VAR1, VAR11, VAR12, VAR5);
assign VAR19 = ( VAR12 === 1'b1 );
assign VAR6 = ( VAR19 && ( VAR3 === 1'b1 ) );
assign VAR15 = ( VAR19 && ( VAR9 === 1'b1 ) );
buf VAR23 (VAR21 , VAR16 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/a211oi/sky130_fd_sc_ls__a211oi.pp.blackbox.v
| 1,397 |
module MODULE1 (
VAR4 ,
VAR1 ,
VAR8 ,
VAR7 ,
VAR5 ,
VAR2,
VAR3,
VAR6 ,
VAR9
);
output VAR4 ;
input VAR1 ;
input VAR8 ;
input VAR7 ;
input VAR5 ;
input VAR2;
input VAR3;
input VAR6 ;
input VAR9 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/sdfxbp/sky130_fd_sc_hdll__sdfxbp.functional.v
| 1,891 |
module MODULE1 (
VAR3 ,
VAR6,
VAR2,
VAR12 ,
VAR15,
VAR4
);
output VAR3 ;
output VAR6;
input VAR2;
input VAR12 ;
input VAR15;
input VAR4;
wire VAR1 ;
wire VAR10;
VAR5 VAR14 (VAR10, VAR12, VAR15, VAR4 );
VAR9 VAR11 VAR13 (VAR1 , VAR10, VAR2 );
buf VAR8 (VAR3 , VAR1 );
not VAR7 (VAR6 , VAR1 );
endmodule
|
apache-2.0
|
YosysHQ/yosys
|
techlibs/xilinx/brams_xc6v_map.v
| 7,211 |
module MODULE1 (...);
parameter VAR1 = 0;
parameter VAR90 = "VAR64";
parameter VAR38 = 0;
parameter VAR68 = 1;
parameter VAR43 = 1;
parameter VAR63 = 1;
parameter VAR73 = 1;
parameter VAR26 = 1;
parameter VAR100 = "VAR103";
parameter VAR49 = 0;
parameter VAR54 = 1;
parameter VAR74 = 1;
parameter VAR77 = 1;
parameter VAR88 = 1;
parameter VAR34 = 0;
parameter VAR16 = 0;
parameter VAR35 = "VAR103";
parameter VAR108 = 0;
parameter VAR79 = 0;
input VAR76;
input VAR50;
input VAR30;
input [15:0] VAR62;
input [VAR43-1:0] VAR101;
input [VAR63-1:0] VAR36;
output [VAR68-1:0] VAR4;
input VAR81;
input VAR97;
input VAR84;
input [15:0] VAR83;
input [VAR77-1:0] VAR31;
input [VAR88-1:0] VAR94;
output [VAR74-1:0] VAR9;
input VAR92;
.VAR110(VAR100), \
.VAR82(VAR35), \
.VAR41(VAR73 ? VAR68 : 0), \
.VAR95(VAR34 ? VAR74 : 0), \
.VAR61(VAR26 ? VAR43 : 0), \
.VAR106(VAR16 ? VAR77 : 0), \
.VAR37(0), \
.VAR67(0), \
.VAR104(VAR7(VAR68, VAR49)), \
.VAR52(VAR7(VAR74, VAR108)), \
.VAR60(VAR7(VAR68, VAR54)), \
.VAR14(VAR7(VAR74, VAR79)), \
.VAR66("VAR59"),
.VAR70(VAR72), \
.VAR18(VAR75), \
.VAR91(VAR10), \
.VAR46(VAR55), \
.VAR65(VAR44), \
.VAR5(VAR15), \
.VAR85(VAR78), \
.VAR11(VAR3), \
.VAR21(VAR50), \
.VAR51(VAR97), \
.VAR116(VAR30), \
.VAR111(VAR84), \
.VAR20(1'b0), \
.VAR48(1'b0), \
.VAR87(VAR81), \
.VAR102(VAR92), \
.VAR71(1'b0), \
.VAR8(1'b0), \
.VAR13(VAR13), \
.VAR80(VAR6),
wire [3:0] VAR13 = {4{VAR36}};
wire [3:0] VAR6 = {4{VAR94}};
generate
if (VAR90 == "VAR89") begin
VAR123 #(
) VAR42 (
.VAR29(VAR62[13:0]),
.VAR93(VAR83[13:0]),
);
end else if (VAR90 == "VAR64") begin
VAR19 #(
.VAR32("VAR115"),
.VAR56("VAR115"),
) VAR42 (
.VAR29({1'b1, VAR62[14:0]}),
.VAR93({1'b1, VAR83[14:0]}),
);
end else begin
wire VAR122, VAR27;
VAR19 #(
.VAR32("VAR120"),
.VAR56("VAR120"),
) VAR24 (
.VAR91(VAR10),
.VAR85(VAR78),
.VAR21(VAR50),
.VAR51(VAR97),
.VAR116(VAR30),
.VAR111(VAR84),
.VAR20(1'b0),
.VAR48(1'b0),
.VAR87(VAR81),
.VAR102(VAR92),
.VAR71(1'b0),
.VAR8(1'b0),
.VAR13(VAR13),
.VAR80(VAR6),
.VAR29(VAR62),
.VAR93(VAR83),
.VAR117(VAR122),
.VAR107(VAR27),
);
VAR19 #(
.VAR32("VAR33"),
.VAR56("VAR33"),
) VAR28 (
.VAR70(VAR72),
.VAR91(VAR10),
.VAR65(VAR44),
.VAR85(VAR78),
.VAR21(VAR50),
.VAR51(VAR97),
.VAR116(VAR30),
.VAR111(VAR84),
.VAR20(1'b0),
.VAR48(1'b0),
.VAR87(VAR81),
.VAR102(VAR92),
.VAR71(1'b0),
.VAR8(1'b0),
.VAR13(VAR13),
.VAR80(VAR6),
.VAR29(VAR62),
.VAR93(VAR83),
.VAR47(VAR122),
.VAR39(VAR27),
);
end
endgenerate
endmodule
module MODULE2 (...);
parameter VAR1 = 0;
parameter VAR90 = "VAR64";
parameter VAR58 = "VAR53";
parameter VAR105 = 1;
parameter VAR121 = 1;
parameter VAR12 = 1;
parameter VAR86 = 1;
parameter VAR96 = 0;
parameter VAR40 = 0;
parameter VAR2 = 0;
input VAR76;
input VAR119;
input VAR69;
input [15:0] VAR114;
input [VAR105-1:0] VAR113;
input [VAR121-1:0] VAR22;
input VAR99;
input VAR17;
input [15:0] VAR25;
output [VAR86-1:0] VAR118;
input VAR98;
.VAR110(VAR58), \
.VAR82(VAR58), \
.VAR41(VAR96 ? VAR86 : 0), \
.VAR95(0), \
.VAR61(0), \
.VAR106(VAR12 ? VAR105 : 0), \
.VAR37(0), \
.VAR67(0), \
.VAR66("VAR109"),
.VAR51(VAR119), \
.VAR21(VAR99), \
.VAR111(VAR69), \
.VAR116(VAR17), \
.VAR20(1'b0), \
.VAR48(1'b0), \
.VAR87(VAR98), \
.VAR102(1'b0), \
.VAR71(1'b0), \
.VAR8(1'b0), \
.VAR13(0), \
.VAR80(VAR22),
generate
if (VAR90 == "VAR89") begin
VAR123 #(
.VAR104(VAR86 == 36 ? VAR7(18, VAR40[17:0]) : VAR7(VAR86, VAR40)),
.VAR52(VAR86 == 36 ? VAR7(18, VAR40[35:18]) : 0),
.VAR60(VAR86 == 36 ? VAR7(18, VAR2[17:0]) : VAR7(VAR86, VAR2)),
.VAR14(VAR86 == 36 ? VAR7(18, VAR2[35:18]) : 0),
) VAR42 (
.VAR29(VAR25[13:0]),
.VAR93(VAR114[13:0]),
.VAR70(VAR112[15:0]),
.VAR65(VAR112[31:16]),
.VAR18(VAR57[1:0]),
.VAR5(VAR57[3:2]),
.VAR91(VAR23[15:0]),
.VAR85(VAR105 == 36 ? VAR23[31:16] : VAR23[15:0]),
.VAR46(VAR45[1:0]),
.VAR11(VAR105 == 36 ? VAR45[3:2] : VAR45[1:0]),
);
end else if (VAR90 == "VAR64") begin
VAR19 #(
.VAR104(VAR86 == 72 ? VAR7(36, VAR40[35:0]) : VAR7(VAR86, VAR40)),
.VAR52(VAR86 == 72 ? VAR7(36, VAR40[71:36]) : 0),
.VAR60(VAR86 == 72 ? VAR7(36, VAR2[35:0]) : VAR7(VAR86, VAR2)),
.VAR14(VAR86 == 72 ? VAR7(36, VAR2[71:36]) : 0),
) VAR42 (
.VAR29({1'b1, VAR25}),
.VAR93({1'b1, VAR114}),
.VAR70(VAR112[31:0]),
.VAR65(VAR112[63:32]),
.VAR18(VAR57[3:0]),
.VAR5(VAR57[7:4]),
.VAR91(VAR23[31:0]),
.VAR85(VAR105 == 72 ? VAR23[63:32] : VAR23[31:0]),
.VAR46(VAR45[3:0]),
.VAR11(VAR105 == 71 ? VAR45[7:4] : VAR45[3:0]),
);
end
endgenerate
endmodule
|
isc
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/a31o/sky130_fd_sc_hd__a31o_1.v
| 2,337 |
module MODULE1 (
VAR8 ,
VAR4 ,
VAR5 ,
VAR6 ,
VAR7 ,
VAR9,
VAR1,
VAR11 ,
VAR2
);
output VAR8 ;
input VAR4 ;
input VAR5 ;
input VAR6 ;
input VAR7 ;
input VAR9;
input VAR1;
input VAR11 ;
input VAR2 ;
VAR3 VAR10 (
.VAR8(VAR8),
.VAR4(VAR4),
.VAR5(VAR5),
.VAR6(VAR6),
.VAR7(VAR7),
.VAR9(VAR9),
.VAR1(VAR1),
.VAR11(VAR11),
.VAR2(VAR2)
);
endmodule
module MODULE1 (
VAR8 ,
VAR4,
VAR5,
VAR6,
VAR7
);
output VAR8 ;
input VAR4;
input VAR5;
input VAR6;
input VAR7;
supply1 VAR9;
supply0 VAR1;
supply1 VAR11 ;
supply0 VAR2 ;
VAR3 VAR10 (
.VAR8(VAR8),
.VAR4(VAR4),
.VAR5(VAR5),
.VAR6(VAR6),
.VAR7(VAR7)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/bufinv/sky130_fd_sc_hd__bufinv_8.v
| 2,044 |
module MODULE1 (
VAR2 ,
VAR8 ,
VAR1,
VAR6,
VAR5 ,
VAR3
);
output VAR2 ;
input VAR8 ;
input VAR1;
input VAR6;
input VAR5 ;
input VAR3 ;
VAR7 VAR4 (
.VAR2(VAR2),
.VAR8(VAR8),
.VAR1(VAR1),
.VAR6(VAR6),
.VAR5(VAR5),
.VAR3(VAR3)
);
endmodule
module MODULE1 (
VAR2,
VAR8
);
output VAR2;
input VAR8;
supply1 VAR1;
supply0 VAR6;
supply1 VAR5 ;
supply0 VAR3 ;
VAR7 VAR4 (
.VAR2(VAR2),
.VAR8(VAR8)
);
endmodule
|
apache-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/dffsnq/gf180mcu_fd_sc_mcu9t5v0__dffsnq_2.behavioral.v
| 3,726 |
module MODULE1( VAR12, VAR6, VAR7, VAR23 );
input VAR12, VAR6, VAR7;
output VAR23;
reg VAR11;
VAR15 VAR26(.VAR12(VAR12),.VAR6(VAR6),.VAR7(VAR7),.VAR23(VAR23),.VAR11(VAR11));
VAR15 VAR25(.VAR12(VAR12),.VAR6(VAR6),.VAR7(VAR7),.VAR23(VAR23),.VAR11(VAR11));
not VAR30(VAR20,VAR6);
and VAR9(VAR4,VAR7,VAR20);
and VAR21(VAR27,VAR7,VAR6);
buf VAR10(VAR17,VAR7);
not VAR28(VAR29,VAR12);
not VAR13(VAR5,VAR6);
and VAR18(VAR24,VAR5,VAR29);
not VAR3(VAR14,VAR12);
and VAR32(VAR1,VAR6,VAR14);
not VAR19(VAR16,VAR6);
and VAR31(VAR2,VAR16,VAR12);
and VAR22(VAR8,VAR6,VAR12);
|
apache-2.0
|
johan92/altera_opencl_sandbox
|
vector_add/bin_vector_add/system/synthesis/submodules/acl_arb2.v
| 11,889 |
module MODULE3
parameter string VAR20 = "VAR29", parameter integer VAR19 = 1, parameter integer VAR21 = 0,
parameter integer VAR11 = 32, parameter integer VAR10 = 4, parameter integer VAR22 = 32, parameter integer VAR30 = VAR11 / 8, parameter integer VAR38 = 1 )
(
input logic VAR8,
input logic VAR34,
VAR1 VAR31,
VAR1 VAR15,
VAR1 VAR13
);
VAR1 #(
.VAR11( VAR11 ),
.VAR10( VAR10 ),
.VAR22( VAR22 ),
.VAR30( VAR30 ),
.VAR38( VAR38 )
)
VAR27();
logic VAR37;
assign VAR27.req = VAR37 ? VAR15.req : VAR31.req;
generate
if( VAR19 == 1 )
begin
logic VAR36;
VAR26 @( posedge VAR8 )
VAR36 <= VAR37;
VAR32
if( VAR36 == 1'b0 && VAR31.req.request )
VAR37 = 1'b0;
end
else if( VAR36 == 1'b1 && VAR15.req.request )
VAR37 = 1'b1;
else
VAR37 = VAR31.req.request ? 1'b0 : 1'b1;
end
else
begin
assign VAR37 = VAR31.req.request ? 1'b0 : 1'b1;
end
endgenerate
generate
if( VAR21 == 1 )
begin
assign VAR31.VAR16 = '0;
assign VAR15.VAR16 = '0;
end
else
begin
assign VAR31.VAR16 = ( VAR37 & VAR15.req.request) | VAR27.VAR16;
assign VAR15.VAR16 = (~VAR37 & VAR31.req.request) | VAR27.VAR16;
end
endgenerate
generate
if( VAR20 == "none" )
begin
assign VAR13.req = VAR27.req;
assign VAR27.VAR16 = VAR13.VAR16;
end
else if( VAR20 == "VAR35" )
begin
VAR1 #(
.VAR11( VAR11 ),
.VAR10( VAR10 ),
.VAR22( VAR22 ),
.VAR30( VAR30 ),
.VAR38( VAR38 )
)
VAR17();
MODULE2 #(
.VAR11( VAR11 ),
.VAR10( VAR10 ),
.VAR22( VAR22 ),
.VAR30( VAR30 ),
.VAR38( VAR38 )
)
VAR33(
.VAR8( VAR8 ),
.VAR34( VAR34 ),
.VAR2( VAR27 ),
.VAR9( VAR17 )
);
assign VAR13.req = VAR17.req;
assign VAR17.VAR16 = VAR13.VAR16;
end
else if( VAR20 == "VAR16" )
begin
VAR1 #(
.VAR11( VAR11 ),
.VAR10( VAR10 ),
.VAR22( VAR22 ),
.VAR30( VAR30 ),
.VAR38( VAR38 )
)
VAR14();
MODULE1 #(
.VAR11( VAR11 ),
.VAR10( VAR10 ),
.VAR22( VAR22 ),
.VAR30( VAR30 ),
.VAR38( VAR38 )
)
VAR18(
.VAR8( VAR8 ),
.VAR34( VAR34 ),
.VAR2( VAR27 ),
.VAR9( VAR14 )
);
assign VAR13.req = VAR14.req;
assign VAR14.VAR16 = VAR13.VAR16;
end
else if( VAR20 == "VAR29" )
begin
VAR1 #(
.VAR11( VAR11 ),
.VAR10( VAR10 ),
.VAR22( VAR22 ),
.VAR30( VAR30 ),
.VAR38( VAR38 )
)
VAR17(), VAR14();
MODULE2 #(
.VAR11( VAR11 ),
.VAR10( VAR10 ),
.VAR22( VAR22 ),
.VAR30( VAR30 ),
.VAR38( VAR38 )
)
VAR33(
.VAR8( VAR8 ),
.VAR34( VAR34 ),
.VAR2( VAR27 ),
.VAR9( VAR17 )
);
MODULE1 #(
.VAR11( VAR11 ),
.VAR10( VAR10 ),
.VAR22( VAR22 ),
.VAR30( VAR30 ),
.VAR38( VAR38 )
)
VAR18(
.VAR8( VAR8 ),
.VAR34( VAR34 ),
.VAR2( VAR17 ),
.VAR9( VAR14 )
);
assign VAR13.req = VAR14.req;
assign VAR14.VAR16 = VAR13.VAR16;
end
else if( VAR20 == "VAR28" )
begin
VAR1 #(
.VAR11( VAR11 ),
.VAR10( VAR10 ),
.VAR22( VAR22 ),
.VAR30( VAR30 ),
.VAR38( VAR38 )
)
VAR14(), VAR17();
MODULE1 #(
.VAR11( VAR11 ),
.VAR10( VAR10 ),
.VAR22( VAR22 ),
.VAR30( VAR30 ),
.VAR38( VAR38 )
)
VAR18(
.VAR8( VAR8 ),
.VAR34( VAR34 ),
.VAR2( VAR27 ),
.VAR9( VAR14 )
);
MODULE2 #(
.VAR11( VAR11 ),
.VAR10( VAR10 ),
.VAR22( VAR22 ),
.VAR30( VAR30 ),
.VAR38( VAR38 )
)
VAR33(
.VAR8( VAR8 ),
.VAR34( VAR34 ),
.VAR2( VAR14 ),
.VAR9( VAR17 )
);
assign VAR13.req = VAR17.req;
assign VAR17.VAR16 = VAR13.VAR16;
end
endgenerate
endmodule
module MODULE2 #(
parameter integer VAR11 = 32, parameter integer VAR10 = 4, parameter integer VAR22 = 32, parameter integer VAR30 = VAR11 / 8, parameter integer VAR38 = 1 )
(
input VAR8,
input VAR34,
VAR1 VAR2,
VAR1 VAR9
);
VAR6 #(
.VAR11( VAR11 ),
.VAR10( VAR10 ),
.VAR22( VAR22 ),
.VAR30( VAR30 ),
.VAR38( VAR38 )
)
VAR23();
always @( posedge VAR8 or negedge VAR34 ) begin
if( !VAR34 ) begin
VAR23.req <= 'VAR7;
VAR23.req.request <= 1'b0;
VAR23.req.read <= 1'b0;
VAR23.req.write <= 1'b0;
end else if( !(VAR9.VAR16 & VAR23.req.request) & VAR2.req.enable) begin
VAR23.req <= VAR2.req;
end
end
assign VAR9.req.enable = VAR2.req.enable ; assign VAR9.req.request = VAR23.req.request ;
assign VAR9.req.read = VAR23.req.read ;
assign VAR9.req.write = VAR23.req.write ;
assign VAR9.req.VAR24 = VAR23.req.VAR24 ;
assign VAR9.req.VAR12 = VAR23.req.VAR12 ;
assign VAR9.req.address = VAR23.req.address ;
assign VAR9.req.VAR25 = VAR23.req.VAR25 ;
assign VAR9.req.VAR5 = VAR23.req.VAR5 ;
assign VAR2.VAR16 = VAR9.VAR16 & VAR23.req.request;
endmodule
module MODULE1 #(
parameter integer VAR11 = 32, parameter integer VAR10 = 4, parameter integer VAR22 = 32, parameter integer VAR30 = VAR11 / 8, parameter integer VAR38 = 1 )
(
input VAR8,
input VAR34,
VAR1 VAR2,
VAR1 VAR9
);
logic VAR3;
VAR6 #(
.VAR11( VAR11 ),
.VAR10( VAR10 ),
.VAR22( VAR22 ),
.VAR30( VAR30 ),
.VAR38( VAR38 )
)
VAR4();
always @( posedge VAR8 or negedge VAR34 )
if( !VAR34 )
begin
VAR4.req <= 'VAR7;
VAR4.req.request <= 1'b0;
VAR4.req.read <= 1'b0;
VAR4.req.write <= 1'b0;
end
else if( !VAR3 )
VAR4.req <= VAR2.req;
always @( posedge VAR8 or negedge VAR34 )
if( !VAR34 )
VAR3 <= 1'b0;
end
else
VAR3 <= VAR9.VAR16 & (VAR3 | VAR2.req.request);
assign VAR9.req = VAR3 ? VAR4.req : VAR2.req;
assign VAR2.VAR16 = VAR3;
endmodule
|
mit
|
Digilent/vivado-library
|
ip/Pmods/PmodESP32_v1_0/src/PmodESP32.v
| 19,701 |
module MODULE1
(VAR64,
VAR120,
VAR195,
VAR58,
VAR169,
VAR185,
VAR25,
VAR101,
VAR159,
VAR15,
VAR177,
VAR51,
VAR148,
VAR14,
VAR10,
VAR190,
VAR139,
VAR123,
VAR196,
VAR144,
VAR75,
VAR45,
VAR166,
VAR28,
VAR60,
VAR43,
VAR152,
VAR55,
VAR99,
VAR47,
VAR40,
VAR44,
VAR178,
VAR78,
VAR132,
VAR194,
VAR186,
VAR114,
VAR161,
VAR172,
VAR2,
VAR89,
VAR111,
VAR19,
VAR138,
VAR179,
VAR22,
VAR94,
VAR170,
VAR150,
VAR13,
VAR124,
VAR31,
VAR100,
VAR135,
VAR153,
VAR160,
VAR136,
VAR192,
VAR118,
VAR107,
VAR171);
input [8:0]VAR64;
output VAR120;
input VAR195;
input [8:0]VAR58;
output VAR169;
input VAR185;
input VAR25;
output [1:0]VAR101;
output VAR159;
output [31:0]VAR15;
input VAR177;
output [1:0]VAR51;
output VAR148;
input [31:0]VAR14;
output VAR10;
input [3:0]VAR190;
input VAR139;
input [3:0]VAR123;
output VAR196;
input VAR144;
input [3:0]VAR75;
output VAR45;
input VAR166;
input VAR28;
output [1:0]VAR60;
output VAR43;
output [31:0]VAR152;
input VAR55;
output [1:0]VAR99;
output VAR47;
input [31:0]VAR40;
output VAR44;
input [3:0]VAR178;
input VAR78;
input VAR132;
output VAR194;
output VAR186;
input VAR114;
output VAR161;
output VAR172;
input VAR2;
output VAR89;
output VAR111;
input VAR19;
output VAR138;
output VAR179;
input VAR22;
output VAR94;
output VAR170;
input VAR150;
output VAR13;
output VAR124;
input VAR31;
output VAR100;
output VAR135;
input VAR153;
output VAR160;
output VAR136;
output VAR192;
input VAR118;
input VAR107;
output VAR171;
wire [3:0]VAR137;
wire VAR131;
wire VAR76;
wire [3:0]VAR63;
wire VAR157;
wire VAR4;
wire VAR52;
wire [1:0]VAR73;
wire VAR80;
wire [31:0]VAR143;
wire VAR168;
wire [1:0]VAR145;
wire VAR142;
wire [31:0]VAR82;
wire VAR53;
wire [3:0]VAR147;
wire VAR188;
wire [8:0]VAR95;
wire VAR96;
wire VAR69;
wire [8:0]VAR105;
wire VAR97;
wire VAR91;
wire VAR5;
wire [1:0]VAR130;
wire VAR115;
wire [31:0]VAR70;
wire VAR175;
wire [1:0]VAR23;
wire VAR57;
wire [31:0]VAR21;
wire VAR72;
wire [3:0]VAR50;
wire VAR116;
wire [3:0]VAR109;
wire [3:0]VAR125;
wire [3:0]VAR155;
wire [1:0]VAR56;
wire [1:0]VAR65;
wire [1:0]VAR26;
wire VAR129;
wire VAR189;
wire VAR46;
wire VAR181;
wire VAR54;
wire VAR108;
wire VAR41;
wire VAR103;
wire VAR158;
wire VAR112;
wire VAR121;
wire VAR83;
wire VAR162;
wire VAR180;
wire VAR74;
wire VAR6;
wire VAR119;
wire VAR110;
wire VAR86;
wire VAR29;
wire VAR151;
wire VAR93;
wire VAR183;
wire VAR104;
wire VAR39;
wire VAR16;
wire VAR164;
wire VAR165;
wire VAR174;
wire VAR187;
assign VAR120 = VAR96;
assign VAR169 = VAR97;
assign VAR101[1:0] = VAR130;
assign VAR159 = VAR115;
assign VAR15[31:0] = VAR70;
assign VAR51[1:0] = VAR23;
assign VAR148 = VAR57;
assign VAR10 = VAR72;
assign VAR196 = VAR131;
assign VAR45 = VAR157;
assign VAR60[1:0] = VAR73;
assign VAR43 = VAR80;
assign VAR152[31:0] = VAR143;
assign VAR99[1:0] = VAR145;
assign VAR47 = VAR142;
assign VAR44 = VAR53;
assign VAR194 = VAR108;
assign VAR186 = VAR41;
assign VAR161 = VAR158;
assign VAR172 = VAR112;
assign VAR89 = VAR83;
assign VAR111 = VAR162;
assign VAR138 = VAR74;
assign VAR179 = VAR6;
assign VAR94 = VAR110;
assign VAR170 = VAR86;
assign VAR13 = VAR151;
assign VAR124 = VAR93;
assign VAR100 = VAR104;
assign VAR135 = VAR39;
assign VAR160 = VAR164;
assign VAR136 = VAR165;
assign VAR137 = VAR123[3:0];
assign VAR76 = VAR144;
assign VAR63 = VAR75[3:0];
assign VAR4 = VAR166;
assign VAR52 = VAR28;
assign VAR168 = VAR55;
assign VAR82 = VAR40[31:0];
assign VAR147 = VAR178[3:0];
assign VAR188 = VAR78;
assign VAR95 = VAR64[8:0];
assign VAR69 = VAR195;
assign VAR105 = VAR58[8:0];
assign VAR91 = VAR185;
assign VAR5 = VAR25;
assign VAR175 = VAR177;
assign VAR21 = VAR14[31:0];
assign VAR50 = VAR190[3:0];
assign VAR116 = VAR139;
assign VAR192 = VAR129;
assign VAR54 = VAR132;
assign VAR103 = VAR114;
assign VAR121 = VAR2;
assign VAR180 = VAR19;
assign VAR119 = VAR22;
assign VAR29 = VAR150;
assign VAR183 = VAR31;
assign VAR16 = VAR153;
assign VAR174 = VAR118;
assign VAR187 = VAR107;
assign VAR171 = VAR181;
VAR126 VAR154
(.VAR149(VAR109),
.VAR122(VAR125),
.VAR7(VAR155),
.VAR3(VAR56),
.VAR134(VAR65),
.VAR18(VAR26),
.VAR9(VAR129),
.VAR118(VAR174),
.VAR92(VAR95),
.VAR107(VAR187),
.VAR36(VAR96),
.VAR42(VAR69),
.VAR37(VAR105),
.VAR67(VAR97),
.VAR127(VAR91),
.VAR11(VAR5),
.VAR106(VAR130),
.VAR182(VAR115),
.VAR140(VAR70),
.VAR27(VAR175),
.VAR141(VAR23),
.VAR163(VAR57),
.VAR184(VAR21),
.VAR133(VAR72),
.VAR33(VAR50),
.VAR98(VAR116));
VAR85 VAR62
(.interrupt(VAR181),
.VAR66(VAR189),
.VAR118(VAR174),
.VAR92(VAR137),
.VAR107(VAR187),
.VAR36(VAR131),
.VAR42(VAR76),
.VAR37(VAR63),
.VAR67(VAR157),
.VAR127(VAR4),
.VAR11(VAR52),
.VAR106(VAR73),
.VAR182(VAR80),
.VAR140(VAR143),
.VAR27(VAR168),
.VAR141(VAR145),
.VAR163(VAR142),
.VAR184(VAR82),
.VAR133(VAR53),
.VAR33(VAR147),
.VAR98(VAR188),
.VAR35(VAR46));
VAR1 VAR176
(.VAR61(VAR46),
.VAR113(VAR189),
.VAR167(VAR109),
.VAR48(VAR125),
.VAR156(VAR155),
.VAR193(VAR56),
.VAR146(VAR65),
.VAR49(VAR26),
.VAR84(VAR103),
.VAR8(VAR158),
.VAR191(VAR112),
.VAR59(VAR121),
.VAR32(VAR83),
.VAR88(VAR162),
.VAR79(VAR180),
.VAR173(VAR74),
.VAR71(VAR6),
.VAR34(VAR119),
.VAR77(VAR110),
.VAR20(VAR86),
.VAR30(VAR29),
.VAR81(VAR151),
.VAR117(VAR93),
.VAR17(VAR183),
.VAR12(VAR104),
.VAR24(VAR39),
.VAR87(VAR16),
.VAR90(VAR164),
.VAR38(VAR165),
.VAR128(VAR54),
.VAR102(VAR108),
.VAR68(VAR41));
endmodule
|
mit
|
CMU-SAFARI/NOCulator
|
hring/hw/buffered/src/c_select_1ofn.v
| 2,430 |
module MODULE1
(select, VAR4, VAR2);
parameter VAR6 = 4;
parameter VAR9 = 32;
input [0:VAR6-1] select;
input [0:VAR6*VAR9-1] VAR4;
output [0:VAR9-1] VAR2;
wire [0:VAR9-1] VAR2;
generate
genvar VAR8;
for(VAR8 = 0; VAR8 < VAR9; VAR8 = VAR8 + 1)
begin:VAR3
wire [0:VAR6-1] VAR5;
genvar VAR1;
for(VAR1 = 0; VAR1 < VAR6; VAR1 = VAR1 + 1)
begin:VAR7
assign VAR5[VAR1] = VAR4[VAR8+VAR1*VAR9] & select[VAR1];
end
assign VAR2[VAR8] = |VAR5;
end
endgenerate
endmodule
|
mit
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
|
cells/nand2/gf180mcu_fd_sc_mcu7t5v0__nand2_2.behavioral.pp.v
| 1,259 |
module MODULE1( VAR1, VAR6, VAR4, VAR8, VAR3 );
input VAR1, VAR6;
inout VAR8, VAR3;
output VAR4;
VAR2 VAR7(.VAR1(VAR1),.VAR6(VAR6),.VAR4(VAR4),.VAR8(VAR8),.VAR3(VAR3));
VAR2 VAR5(.VAR1(VAR1),.VAR6(VAR6),.VAR4(VAR4),.VAR8(VAR8),.VAR3(VAR3));
|
apache-2.0
|
perillamint/humbleverilogcalc
|
calculator.v
| 1,595 |
module MODULE1 (VAR26, VAR16, VAR7, VAR13, VAR10);
input[5:0] VAR26;
input[5:0] VAR16;
input[3:0] VAR7;
output[5:0] VAR13;
output VAR10;
wire[5:0] sum;
wire[5:0] VAR18;
wire[5:0] VAR1;
wire[5:0] VAR5;
wire[5:0] VAR2;
wire[5:0] VAR33;
wire[5:0] VAR17;
wire[5:0] VAR21;
wire[5:0] VAR27;
wire[5:0] VAR32;
wire[5:0] VAR25;
wire[5:0] VAR6;
wire[12:0] VAR23;
VAR9 VAR35 (VAR26, VAR16, sum, VAR23[0]);
VAR20 VAR14 (VAR26, VAR16, VAR18, VAR23[1]);
VAR11 VAR15 (VAR26, VAR16, VAR1, VAR23[2]);
VAR22 VAR29 (VAR26, VAR16, VAR5, VAR2, VAR23[3]);
VAR12 VAR31 (VAR26, VAR16, VAR33, VAR23[5]);
VAR8 VAR4 (VAR26, VAR21, VAR23[7]);
VAR36 VAR28 (VAR26, VAR27, VAR23[8]);
VAR24 VAR37 (VAR26, VAR32, VAR23[9]);
VAR19 VAR3 (VAR26, VAR25, VAR23[10]);
VAR30 VAR39 (VAR26, VAR6, VAR23[11]);
assign VAR23[4] = VAR23[3];
VAR38 VAR34 (sum, VAR18, VAR1, VAR5, VAR2, VAR33, VAR17, VAR21, VAR27, VAR32, VAR25, VAR6, 0, 0, 0, 0, VAR7, VAR13);
assign VAR10 = VAR23[VAR7];
endmodule
|
gpl-3.0
|
bit0fun/Fusion-Core
|
Fusion-Core-Base/shift_left_32.v
| 1,623 |
module MODULE1(
input[31:0] VAR1, output[31:0] out );
assign out[0] = VAR1[31];
assign out[1] = VAR1[0];
assign out[2] = VAR1[1];
assign out[3] = VAR1[2];
assign out[4] = VAR1[3];
assign out[5] = VAR1[4];
assign out[6] = VAR1[5];
assign out[7] = VAR1[6];
assign out[8] = VAR1[7];
assign out[9] = VAR1[8];
assign out[10] = VAR1[9];
assign out[11] = VAR1[10];
assign out[12] = VAR1[11];
assign out[13] = VAR1[12];
assign out[14] = VAR1[13];
assign out[15] = VAR1[14];
assign out[16] = VAR1[15];
assign out[17] = VAR1[16];
assign out[18] = VAR1[17];
assign out[19] = VAR1[18];
assign out[20] = VAR1[19];
assign out[21] = VAR1[20];
assign out[22] = VAR1[21];
assign out[23] = VAR1[22];
assign out[24] = VAR1[23];
assign out[25] = VAR1[24];
assign out[26] = VAR1[25];
assign out[27] = VAR1[26];
assign out[28] = VAR1[27];
assign out[29] = VAR1[28];
assign out[30] = VAR1[29];
assign out[31] = VAR1[30];
endmodule
|
gpl-3.0
|
Darkin47/Zynq-TX-UTT
|
Vivado/Hist_Stretch/Hist_Stretch.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_4/synth/design_1_auto_pc_4.v
| 13,308 |
module MODULE1 (
VAR73,
VAR49,
VAR78,
VAR71,
VAR61,
VAR70,
VAR109,
VAR66,
VAR111,
VAR4,
VAR10,
VAR27,
VAR90,
VAR82,
VAR80,
VAR24,
VAR53,
VAR67,
VAR79,
VAR104,
VAR91,
VAR36,
VAR54,
VAR101,
VAR88,
VAR69,
VAR112,
VAR95,
VAR102,
VAR19,
VAR74,
VAR20,
VAR52,
VAR94,
VAR96,
VAR22,
VAR105,
VAR55,
VAR114,
VAR110,
VAR7,
VAR45,
VAR26,
VAR3,
VAR106,
VAR86,
VAR87,
VAR42,
VAR113,
VAR56,
VAR40,
VAR33,
VAR93,
VAR46,
VAR28,
VAR77,
VAR50,
VAR107,
VAR68,
VAR62
);
input wire VAR73;
input wire VAR49;
input wire [11 : 0] VAR78;
input wire [31 : 0] VAR71;
input wire [7 : 0] VAR61;
input wire [2 : 0] VAR70;
input wire [1 : 0] VAR109;
input wire [0 : 0] VAR66;
input wire [3 : 0] VAR111;
input wire [2 : 0] VAR4;
input wire [3 : 0] VAR10;
input wire [3 : 0] VAR27;
input wire VAR90;
output wire VAR82;
input wire [31 : 0] VAR80;
input wire [3 : 0] VAR24;
input wire VAR53;
input wire VAR67;
output wire VAR79;
output wire [11 : 0] VAR104;
output wire [1 : 0] VAR91;
output wire VAR36;
input wire VAR54;
input wire [11 : 0] VAR101;
input wire [31 : 0] VAR88;
input wire [7 : 0] VAR69;
input wire [2 : 0] VAR112;
input wire [1 : 0] VAR95;
input wire [0 : 0] VAR102;
input wire [3 : 0] VAR19;
input wire [2 : 0] VAR74;
input wire [3 : 0] VAR20;
input wire [3 : 0] VAR52;
input wire VAR94;
output wire VAR96;
output wire [11 : 0] VAR22;
output wire [31 : 0] VAR105;
output wire [1 : 0] VAR55;
output wire VAR114;
output wire VAR110;
input wire VAR7;
output wire [31 : 0] VAR45;
output wire [2 : 0] VAR26;
output wire VAR3;
input wire VAR106;
output wire [31 : 0] VAR86;
output wire [3 : 0] VAR87;
output wire VAR42;
input wire VAR113;
input wire [1 : 0] VAR56;
input wire VAR40;
output wire VAR33;
output wire [31 : 0] VAR93;
output wire [2 : 0] VAR46;
output wire VAR28;
input wire VAR77;
input wire [31 : 0] VAR50;
input wire [1 : 0] VAR107;
input wire VAR68;
output wire VAR62;
VAR63 #(
.VAR65("VAR31"),
.VAR38(2),
.VAR51(0),
.VAR30(0),
.VAR1(12),
.VAR6(32),
.VAR81(32),
.VAR97(1),
.VAR15(1),
.VAR83(0),
.VAR98(1),
.VAR47(1),
.VAR5(1),
.VAR58(1),
.VAR76(1),
.VAR48(2)
) VAR16 (
.VAR73(VAR73),
.VAR49(VAR49),
.VAR78(VAR78),
.VAR71(VAR71),
.VAR61(VAR61),
.VAR70(VAR70),
.VAR109(VAR109),
.VAR66(VAR66),
.VAR111(VAR111),
.VAR4(VAR4),
.VAR10(VAR10),
.VAR27(VAR27),
.VAR43(1'VAR17),
.VAR90(VAR90),
.VAR82(VAR82),
.VAR23(12'VAR34),
.VAR80(VAR80),
.VAR24(VAR24),
.VAR53(VAR53),
.VAR11(1'VAR17),
.VAR67(VAR67),
.VAR79(VAR79),
.VAR104(VAR104),
.VAR91(VAR91),
.VAR103(),
.VAR36(VAR36),
.VAR54(VAR54),
.VAR101(VAR101),
.VAR88(VAR88),
.VAR69(VAR69),
.VAR112(VAR112),
.VAR95(VAR95),
.VAR102(VAR102),
.VAR19(VAR19),
.VAR74(VAR74),
.VAR20(VAR20),
.VAR52(VAR52),
.VAR72(1'VAR17),
.VAR94(VAR94),
.VAR96(VAR96),
.VAR22(VAR22),
.VAR105(VAR105),
.VAR55(VAR55),
.VAR114(VAR114),
.VAR25(),
.VAR110(VAR110),
.VAR7(VAR7),
.VAR92(),
.VAR45(VAR45),
.VAR85(),
.VAR64(),
.VAR84(),
.VAR37(),
.VAR35(),
.VAR26(VAR26),
.VAR44(),
.VAR59(),
.VAR57(),
.VAR3(VAR3),
.VAR106(VAR106),
.VAR12(),
.VAR86(VAR86),
.VAR87(VAR87),
.VAR39(),
.VAR8(),
.VAR42(VAR42),
.VAR113(VAR113),
.VAR32(12'VAR34),
.VAR56(VAR56),
.VAR14(1'VAR17),
.VAR40(VAR40),
.VAR33(VAR33),
.VAR75(),
.VAR93(VAR93),
.VAR13(),
.VAR2(),
.VAR60(),
.VAR100(),
.VAR89(),
.VAR46(VAR46),
.VAR108(),
.VAR9(),
.VAR99(),
.VAR28(VAR28),
.VAR77(VAR77),
.VAR29(12'VAR34),
.VAR50(VAR50),
.VAR107(VAR107),
.VAR21(1'VAR18),
.VAR41(1'VAR17),
.VAR68(VAR68),
.VAR62(VAR62)
);
endmodule
|
gpl-3.0
|
EPiCS/soundgates
|
hardware/design/reference/cf_lib/edk/pcores/adi_common_v1_00_a/hdl/verilog/ad_sine.v
| 6,656 |
module MODULE1 (
clk,
VAR37,
VAR25,
VAR23,
VAR27);
parameter VAR12 = 16;
localparam VAR18 = VAR12 - 1;
input clk;
input [15:0] VAR37;
output [15:0] VAR25;
input [VAR18:0] VAR23;
output [VAR18:0] VAR27;
reg [VAR18:0] VAR22 = 'd0;
reg VAR32 = 'd0;
reg [15:0] VAR29 = 'd0;
reg [15:0] VAR19 = 'd0;
reg [VAR18:0] VAR14 = 'd0;
reg VAR24 = 'd0;
reg [15:0] VAR26 = 'd0;
reg [VAR18:0] VAR1 = 'd0;
reg VAR38 = 'd0;
reg [15:0] VAR42 = 'd0;
reg [15:0] VAR39 = 'd0;
reg [VAR18:0] VAR27 = 'd0;
reg [15:0] VAR25 = 'd0;
wire [VAR18:0] VAR31;
wire VAR16;
wire [31:0] VAR3;
wire [VAR18:0] VAR30;
wire VAR33;
wire [15:0] VAR34;
wire [31:0] VAR15;
wire [VAR18:0] VAR17;
wire VAR5;
wire [31:0] VAR4;
wire [VAR18:0] VAR43;
wire VAR13;
wire [15:0] VAR40;
wire [31:0] VAR11;
wire [VAR18:0] VAR41;
wire VAR28;
wire [31:0] VAR6;
VAR9 #(.VAR12(VAR12+1)) VAR7 (
.clk (clk),
.VAR2 ({1'b0, VAR37[14:0]}),
.VAR35 (16'hc90f),
.VAR20 (VAR3),
.VAR23 ({VAR23, VAR37[15]}),
.VAR27 ({VAR31, VAR16}));
VAR9 #(.VAR12(VAR12+17)) VAR36 (
.clk (clk),
.VAR2 (VAR3[30:15]),
.VAR35 (16'h19f0),
.VAR20 (VAR15),
.VAR23 ({VAR31, VAR16, VAR3[30:15]}),
.VAR27 ({VAR30, VAR33, VAR34}));
always @(posedge clk) begin
VAR22 <= VAR30;
VAR32 <= VAR33;
VAR29 <= VAR34;
VAR19 <= 16'ha2f9 - VAR15[28:13];
end
VAR9 #(.VAR12(VAR12+1)) VAR10 (
.clk (clk),
.VAR2 (VAR29),
.VAR35 (VAR19),
.VAR20 (VAR4),
.VAR23 ({VAR22, VAR32}),
.VAR27 ({VAR17, VAR5}));
always @(posedge clk) begin
VAR14 <= VAR17;
VAR24 <= VAR5;
if (VAR4[31:29] == 0) begin
VAR26 <= VAR4[28:13];
end else begin
VAR26 <= 16'hffff;
end
end
VAR9 #(.VAR12(VAR12+17)) VAR8 (
.clk (clk),
.VAR2 (VAR26),
.VAR35 (16'h3999),
.VAR20 (VAR11),
.VAR23 ({VAR14, VAR24, VAR26}),
.VAR27 ({VAR43, VAR13, VAR40}));
always @(posedge clk) begin
VAR1 <= VAR43;
VAR38 <= VAR13;
VAR42 <= VAR40;
VAR39 <= 16'hc666 + VAR11[31:16];
end
VAR9 #(.VAR12(VAR12+1)) VAR21 (
.clk (clk),
.VAR2 (VAR42),
.VAR35 (VAR39),
.VAR20 (VAR6),
.VAR23 ({VAR1, VAR38}),
.VAR27 ({VAR41, VAR28}));
always @(posedge clk) begin
VAR27 <= VAR41;
VAR25 <= {VAR28, VAR6[31:17]};
end
endmodule
|
mit
|
freecores/eco32
|
fpga/src/dsp/pixel.v
| 1,591 |
module MODULE1(clk, VAR4, VAR12,
MODULE1, VAR9, VAR20, VAR16, VAR21,
VAR8, VAR19, VAR11, VAR6, VAR7);
input clk;
input VAR4;
input [7:0] VAR12;
input MODULE1;
input VAR9;
input VAR20;
input VAR16;
input VAR21;
output reg VAR8;
output reg VAR19;
output reg [2:0] VAR11;
output reg [2:0] VAR6;
output reg [2:0] VAR7;
wire VAR10;
wire VAR24;
wire VAR13;
wire VAR18;
wire VAR23;
wire VAR17;
wire VAR5;
wire VAR2;
wire VAR3;
wire VAR15;
wire VAR22;
wire VAR1;
wire VAR14;
assign VAR10 = VAR12[7];
assign VAR24 = VAR12[6];
assign VAR13 = VAR12[5];
assign VAR18 = VAR12[4];
assign VAR23 = VAR12[3];
assign VAR17 = VAR12[2];
assign VAR5 = VAR12[1];
assign VAR2 = VAR12[0];
assign VAR3 = MODULE1 & ~(VAR10 & VAR21);
assign VAR15 = VAR3 & VAR23;
assign VAR22 = (VAR3 ? VAR17 : VAR24);
assign VAR1 = (VAR3 ? VAR5 : VAR13);
assign VAR14 = (VAR3 ? VAR2 : VAR18);
always @(posedge clk) begin
if (VAR4 == 1) begin
VAR8 <= VAR20;
VAR19 <= VAR16;
VAR11[2] <= VAR9 & VAR22;
VAR11[1] <= VAR9 & VAR15;
VAR11[0] <= VAR9 & VAR22 & VAR15;
VAR6[2] <= VAR9 & VAR1;
VAR6[1] <= VAR9 & VAR15;
VAR6[0] <= VAR9 & VAR1 & VAR15;
VAR7[2] <= VAR9 & VAR14;
VAR7[1] <= VAR9 & VAR15;
VAR7[0] <= VAR9 & VAR14 & VAR15;
end
end
endmodule
|
bsd-2-clause
|
iAklis/teoca
|
EXPR5/MAIN.v
| 4,758 |
module MODULE1(
input clk,
input VAR26,
input VAR37,
input VAR32,
input VAR9, input [15:0] VAR34, input [2:0] VAR10,
input wire [4:0] VAR13,
input wire [4:0] VAR30,
input wire [4:0] VAR6, output wire [31:0] VAR11,
output wire [31:0] VAR3, output wire [31:0] VAR29, output VAR27, VAR23
);
wire [31:0] VAR7;
register VAR19 (
.clk(clk),
.VAR37(VAR37),
.VAR8(VAR30),
.VAR5(VAR6),
.VAR13(VAR13),
.VAR1(VAR3),
.VAR32(VAR32),
.VAR18(VAR11),
.VAR15(VAR7)
);
MODULE3 VAR33 (
.VAR11(VAR11),
.VAR7({{16{1'b0}}, VAR34}),
.VAR23(VAR23),
.VAR27(VAR27),
.VAR16(VAR29),
.VAR10(VAR10)
);
VAR17 VAR2 (
.VAR38(VAR26), .VAR4(VAR9), .VAR36(VAR29[7:2]), .VAR31(VAR7), .VAR28(VAR3) );
endmodule
module MODULE2(
input [5:0] VAR24,
input [1:0] VAR22,
input VAR9,
input VAR25,
output reg [7:0] VAR35
);
wire [31:0] VAR16;
reg [31:0] VAR31;
VAR17 VAR21 (
.VAR38(VAR25), .VAR4(VAR9), .VAR36(VAR24), .VAR31(VAR31), .VAR28(VAR16) );
always@
begin
if(VAR37)
begin
for(VAR14=0; VAR14<=31; VAR14=VAR14+1)
begin
VAR20[VAR14]<=32'h00000000;
end
end
else
begin
if(VAR32)
begin
VAR20[VAR13]<=VAR1;
end
else
begin
VAR20[VAR13]<=VAR20[VAR13];
end
end
end
endmodule
module MODULE3(VAR11, VAR7, VAR23, VAR27, VAR16, VAR10);
input [2:0] VAR10;
input [31:0] VAR11, VAR7;
output reg [31:0] VAR16;
output reg VAR23, VAR27;
reg VAR12;
always @(*)
begin
case(VAR10)
3'd0:begin VAR16 = VAR11&VAR7;
VAR27 = 0;
end
3'd1:begin VAR16 = VAR11|VAR7;
VAR27 = 0;
end
3'd2:begin VAR16 = VAR11^VAR7;
VAR27 = 0;
end
3'd3:begin VAR16 = ~(VAR11|VAR7);
VAR27 = 0;
end
3'd4:begin {VAR12, VAR16} = VAR11 + VAR7;
VAR27 = VAR11[31]^VAR7[31]^VAR16[31]^VAR12;
end
3'd5:begin {VAR12, VAR16} = VAR11 - VAR7;
VAR27 = VAR11[31]^VAR7[31]^VAR16[31]^VAR12;
end
3'd6:begin if (VAR11<VAR7)
begin
VAR16 = 32'd1;
end
else
begin
VAR16 = 32'd0;
end
VAR27 = 0;
end
3'd7:begin VAR16=VAR7<<VAR11;
VAR27=0;
end
default:begin
VAR16=VAR11;
VAR27 = 0;
end
endcase
if (VAR16 == 32'd0)
begin
VAR23 = 1;
end
else
begin
VAR23 = 0;
end
end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/fahcon/sky130_fd_sc_ls__fahcon.functional.pp.v
| 2,730 |
module MODULE1 (
VAR22,
VAR17 ,
VAR13 ,
VAR25 ,
VAR5 ,
VAR9 ,
VAR24 ,
VAR6 ,
VAR2
);
output VAR22;
output VAR17 ;
input VAR13 ;
input VAR25 ;
input VAR5 ;
input VAR9 ;
input VAR24 ;
input VAR6 ;
input VAR2 ;
wire VAR18 ;
wire VAR4 ;
wire VAR16 ;
wire VAR15 ;
wire VAR3 ;
wire VAR11 ;
wire VAR21;
xor VAR23 (VAR18 , VAR13, VAR25, VAR5 );
VAR10 VAR7 (VAR4 , VAR18, VAR9, VAR24 );
buf VAR20 (VAR17 , VAR4 );
nor VAR14 (VAR16 , VAR13, VAR25 );
nor VAR8 (VAR15 , VAR13, VAR5 );
nor VAR19 (VAR3 , VAR25, VAR5 );
or VAR1 (VAR11 , VAR16, VAR15, VAR3 );
VAR10 VAR26 (VAR21, VAR11, VAR9, VAR24);
buf VAR12 (VAR22 , VAR21 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/tapmet1/sky130_fd_sc_hs__tapmet1.functional.pp.v
| 1,153 |
module MODULE1 (
VAR1,
VAR2
);
input VAR1;
input VAR2;
endmodule
|
apache-2.0
|
schelleg/PYNQ
|
boards/ip/audio_direct_1.1/hdl/audio_direct_v1_1.v
| 3,187 |
module MODULE1 #
(
parameter integer VAR22 = 32,
parameter integer VAR23 = 5
)
(
input wire VAR34,
input wire VAR64,
output wire VAR54,
output wire VAR1,
output wire VAR24,
input wire VAR38,
input wire VAR32,
input wire [VAR23-1 : 0] VAR17,
input wire [2 : 0] VAR42,
input wire VAR9,
output wire VAR37,
input wire [VAR22-1 : 0] VAR28,
input wire [(VAR22/8)-1 : 0] VAR39,
input wire VAR43,
output wire VAR15,
output wire [1 : 0] VAR36,
output wire VAR51,
input wire VAR46,
input wire [VAR23-1 : 0] VAR25,
input wire [2 : 0] VAR61,
input wire VAR62,
output wire VAR5,
output wire [VAR22-1 : 0] VAR41,
output wire [1 : 0] VAR8,
output wire VAR55,
input wire VAR53
);
wire VAR12, VAR52;
wire VAR21, VAR4;
wire VAR18, VAR29;
wire VAR66, VAR2;
VAR3 # (
.VAR22(VAR22),
.VAR23(VAR23)
) VAR13 (
.VAR50(VAR52),
.VAR16(VAR64),
.VAR70(), .VAR71(VAR4),
.VAR7(VAR29),
.VAR2(VAR2),
.VAR66(VAR66),
.VAR11(VAR38),
.VAR68(VAR32),
.VAR67(VAR17),
.VAR57(VAR42),
.VAR56(VAR9),
.VAR27(VAR37),
.VAR44(VAR28),
.VAR48(VAR39),
.VAR40(VAR43),
.VAR30(VAR15),
.VAR19(VAR36),
.VAR26(VAR51),
.VAR33(VAR46),
.VAR58(VAR25),
.VAR47(VAR61),
.VAR14(VAR62),
.VAR65(VAR5),
.VAR10(VAR41),
.VAR49(VAR8),
.VAR31(VAR55),
.VAR20(VAR53)
);
VAR35 VAR59(
.VAR6(VAR38),
.VAR63(VAR34),
.VAR45(VAR64),
.VAR50(VAR12),
.VAR2(VAR21),
.VAR69(), .VAR60(VAR18)
);
assign VAR24 = VAR34 ? VAR12:VAR52;
assign VAR1 = VAR34 ? VAR18:VAR29;
assign VAR54 = VAR34 ? VAR21:VAR4;
endmodule
|
bsd-3-clause
|
sh-chris110/chris
|
FPGA/uCos/system/synthesis/submodules/system_nios2_gen2_0_cpu_debug_slave_tck.v
| 8,218 |
module MODULE1 (
VAR20,
VAR39,
VAR1,
VAR6,
VAR35,
VAR3,
VAR21,
VAR37,
VAR19,
VAR8,
VAR4,
VAR33,
VAR13,
VAR7,
VAR12,
VAR17,
VAR40,
VAR18,
VAR14,
VAR24,
VAR11,
VAR5,
VAR36,
VAR9,
VAR16,
VAR26,
VAR23,
VAR31,
VAR10,
VAR29,
VAR32
)
;
output [ 1: 0] VAR23;
output VAR31;
output [ 37: 0] VAR10;
output VAR29;
output VAR32;
input [ 31: 0] VAR20;
input [ 31: 0] VAR39;
input VAR1;
input VAR6;
input VAR35;
input VAR3;
input VAR21;
input [ 1: 0] VAR37;
input VAR19;
input VAR8;
input VAR4;
input VAR33;
input VAR13;
input VAR7;
input VAR12;
input VAR17;
input [ 35: 0] VAR40;
input VAR18;
input [ 6: 0] VAR14;
input VAR24;
input VAR11;
input VAR5;
input VAR36;
input VAR9;
input VAR16;
input VAR26;
reg [ 2: 0] VAR15 ;
wire VAR28;
reg [ 1: 0] VAR23;
wire VAR31;
wire VAR38;
reg [ 37: 0] VAR10 ;
wire VAR29;
wire VAR32;
wire VAR2;
wire VAR34;
always @(posedge VAR7)
begin
if (VAR9)
case (VAR37)
2'b00: begin
VAR10[35] <= VAR28;
VAR10[34] <= VAR8;
VAR10[33] <= VAR13;
VAR10[32 : 1] <= VAR20;
VAR10[0] <= VAR38;
end
2'b01: begin
VAR10[35 : 0] <= VAR40;
VAR10[37] <= VAR18;
VAR10[36] <= VAR17;
end
2'b10: begin
VAR10[37] <= VAR36;
VAR10[36] <= VAR3;
VAR10[35] <= VAR35;
VAR10[34] <= VAR6;
VAR10[33] <= VAR1;
VAR10[32 : 1] <= VAR39;
VAR10[0] <= VAR5;
end
2'b11: begin
VAR10[15 : 2] <= VAR14;
VAR10[1] <= VAR11;
VAR10[0] <= VAR24;
end
endcase if (VAR16)
case (VAR15)
3'b000: begin
VAR10 <= {VAR12, VAR10[37 : 2], VAR12};
end
3'b001: begin
VAR10 <= {VAR12, VAR10[37 : 9], VAR12, VAR10[7 : 1]};
end
3'b010: begin
VAR10 <= {VAR12, VAR10[37 : 17], VAR12, VAR10[15 : 1]};
end
3'b011: begin
VAR10 <= {VAR12, VAR10[37 : 33], VAR12, VAR10[31 : 1]};
end
3'b100: begin
VAR10 <= {VAR12, VAR10[37], VAR12, VAR10[35 : 1]};
end
3'b101: begin
VAR10 <= {VAR12, VAR10[37 : 1]};
end
default: begin
VAR10 <= {VAR12, VAR10[37 : 2], VAR12};
end
endcase if (VAR26)
case (VAR37)
2'b00: begin
VAR15 <= 3'b100;
end
2'b01: begin
VAR15 <= 3'b101;
end
2'b10: begin
VAR15 <= 3'b101;
end
2'b11: begin
VAR15 <= 3'b010;
end
endcase end
assign VAR32 = VAR10[0];
assign VAR29 = VAR19;
assign VAR2 = VAR31;
VAR27 VAR25
(
.clk (VAR7),
.din (VAR21),
.dout (VAR28),
.VAR33 (VAR2)
);
assign VAR34 = VAR31;
VAR27 VAR22
(
.clk (VAR7),
.din (VAR4),
.dout (VAR38),
.VAR33 (VAR34)
);
always @(posedge VAR7 or negedge VAR31)
begin
if (VAR31 == 0)
VAR23 <= 2'b0;
end
else
VAR23 <= {VAR28, VAR38};
end
assign VAR31 = VAR33;
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/or4b/sky130_fd_sc_hd__or4b.pp.blackbox.v
| 1,335 |
module MODULE1 (
VAR3 ,
VAR9 ,
VAR5 ,
VAR7 ,
VAR4 ,
VAR2,
VAR1,
VAR6 ,
VAR8
);
output VAR3 ;
input VAR9 ;
input VAR5 ;
input VAR7 ;
input VAR4 ;
input VAR2;
input VAR1;
input VAR6 ;
input VAR8 ;
endmodule
|
apache-2.0
|
alexforencich/xfcp
|
lib/eth/example/AU250/fpga_10g/rtl/fpga.v
| 26,707 |
module MODULE1 (
input wire reset,
input wire [3:0] VAR190,
output wire [2:0] VAR362,
inout wire VAR189,
inout wire VAR22,
output wire VAR16,
output wire VAR206,
input wire VAR255,
input wire VAR315,
output wire VAR275,
output wire VAR171,
input wire VAR47,
input wire VAR305,
output wire VAR390,
output wire VAR439,
input wire VAR303,
input wire VAR64,
output wire VAR420,
output wire VAR403,
input wire VAR24,
input wire VAR450,
input wire VAR462,
input wire VAR361,
output wire VAR370,
output wire VAR155,
input wire VAR26,
input wire VAR213,
output wire VAR404,
output wire VAR455,
output wire [1:0] VAR123,
output wire VAR36,
output wire VAR23,
input wire VAR130,
input wire VAR79,
output wire VAR427,
output wire VAR125,
input wire VAR258,
input wire VAR195,
output wire VAR97,
output wire VAR250,
input wire VAR452,
input wire VAR74,
output wire VAR31,
output wire VAR161,
input wire VAR245,
input wire VAR276,
output wire VAR406,
output wire VAR85,
input wire VAR246,
input wire VAR350,
output wire VAR167,
output wire VAR247,
output wire [1:0] VAR401,
output wire VAR32,
input wire VAR240
);
wire VAR283;
wire VAR152;
wire VAR448;
wire VAR17;
wire VAR226;
wire VAR378;
wire VAR292;
wire VAR252;
wire VAR311;
wire VAR418;
VAR146 #(
.VAR78("VAR173"),
.VAR172(7.5),
.VAR12(0.5),
.VAR54(0),
.VAR142(1),
.VAR326(0.5),
.VAR98(0),
.VAR309(1),
.VAR417(0.5),
.VAR70(0),
.VAR428(1),
.VAR211(0.5),
.VAR243(0),
.VAR135(1),
.VAR106(0.5),
.VAR424(0),
.VAR358(1),
.VAR379(0.5),
.VAR460(0),
.VAR13(1),
.VAR28(0.5),
.VAR87(0),
.VAR456(64),
.VAR341(0),
.VAR298(11),
.VAR176(0.010),
.VAR425(6.206),
.VAR30("VAR301"),
.VAR336("VAR301")
)
VAR254 (
.VAR11(VAR152),
.VAR55(VAR418),
.VAR408(VAR252),
.VAR293(1'b0),
.VAR338(VAR448),
.VAR186(),
.VAR324(),
.VAR25(),
.VAR120(),
.VAR67(),
.VAR256(),
.VAR84(),
.VAR277(),
.VAR348(),
.VAR111(),
.VAR225(VAR418),
.VAR436(),
.VAR48(VAR311)
);
VAR356
VAR193 (
.VAR352(VAR448),
.VAR69(VAR17)
);
VAR219 #(
.VAR93(4)
)
VAR372 (
.clk(VAR17),
.rst(~VAR311),
.out(VAR226)
);
wire [3:0] VAR8;
VAR411 #(
.VAR66(4),
.VAR93(4),
.VAR104(156000)
)
VAR10 (
.clk(VAR378),
.rst(VAR292),
.in({VAR190}),
.out({VAR8})
);
wire VAR90;
VAR270 #(
.VAR66(1),
.VAR93(2)
)
VAR57 (
.clk(VAR378),
.in({VAR240}),
.out({VAR90})
);
wire VAR7;
wire VAR138 = 1'b1;
wire VAR210 = 1'b1;
wire VAR101;
wire VAR334 = 1'b1;
wire VAR410 = 1'b1;
assign VAR7 = VAR189;
assign VAR189 = VAR210 ? 1'VAR371 : VAR138;
assign VAR101 = VAR22;
assign VAR22 = VAR410 ? 1'VAR371 : VAR334;
wire VAR15;
VAR116
VAR218 (
.VAR119(),
.VAR124(VAR15),
.VAR91(4'd0),
.VAR431(),
.VAR282(1'b1),
.VAR321(),
.VAR357(1'b0),
.VAR117(1'b1),
.VAR143(1'b0),
.VAR151(1'b0),
.VAR191(1'b1),
.VAR359(1'b0),
.VAR328(),
.VAR41(1'b0),
.VAR295(1'b1),
.VAR382(1'b0),
.VAR92(1'b1)
);
VAR356
VAR374 (
.VAR352(VAR15),
.VAR69(VAR283)
);
reg VAR435 = 1'b1;
reg VAR192 = 1'b1;
reg [9:0] VAR316 = 0;
assign VAR252 = VAR192;
always @(posedge VAR283) begin
if (&VAR316) begin
if (VAR435) begin
VAR435 <= 1'b0;
VAR316 <= 0;
end else begin
VAR435 <= 1'b0;
VAR192 <= 1'b0;
end
end else begin
VAR316 <= VAR316 + 1;
end
if (!reset) begin
VAR435 <= 1'b1;
VAR192 <= 1'b1;
VAR316 <= 0;
end
end
assign VAR370 = 1'b0;
assign VAR155 = 1'b1;
assign VAR404 = 1'b0;
assign VAR455 = VAR435;
assign VAR123 = 2'b10;
wire VAR265;
wire VAR199;
wire [63:0] VAR179;
wire [7:0] VAR20;
wire VAR216;
wire VAR409;
wire [63:0] VAR310;
wire [7:0] VAR234;
wire VAR228;
wire VAR236;
wire [63:0] VAR184;
wire [7:0] VAR183;
wire VAR419;
wire VAR385;
wire [63:0] VAR107;
wire [7:0] VAR115;
wire VAR221;
wire VAR400;
wire [63:0] VAR145;
wire [7:0] VAR323;
wire VAR156;
wire VAR414;
wire [63:0] VAR413;
wire [7:0] VAR457;
wire VAR131;
wire VAR367;
wire [63:0] VAR273;
wire [7:0] VAR368;
wire VAR230;
wire VAR289;
wire [63:0] VAR129;
wire [7:0] VAR285;
assign VAR406 = 1'b0;
assign VAR85 = 1'b1;
assign VAR167 = 1'b0;
assign VAR247 = VAR435;
assign VAR401 = 2'b10;
wire VAR335;
wire VAR237;
wire [63:0] VAR257;
wire [7:0] VAR96;
wire VAR134;
wire VAR318;
wire [63:0] VAR264;
wire [7:0] VAR313;
wire VAR268;
wire VAR3;
wire [63:0] VAR354;
wire [7:0] VAR61;
wire VAR388;
wire VAR51;
wire [63:0] VAR197;
wire [7:0] VAR187;
wire VAR53;
wire VAR212;
wire [63:0] VAR29;
wire [7:0] VAR383;
wire VAR399;
wire VAR58;
wire [63:0] VAR322;
wire [7:0] VAR5;
wire VAR443;
wire VAR86;
wire [63:0] VAR454;
wire [7:0] VAR393;
wire VAR339;
wire VAR373;
wire [63:0] VAR188;
wire [7:0] VAR223;
wire VAR113;
wire VAR261;
wire VAR162;
wire VAR158;
wire VAR165;
wire VAR81;
wire VAR423;
wire VAR395;
wire [7:0] VAR312;
wire VAR415;
wire VAR314;
wire VAR251;
assign VAR152 = VAR251;
wire [7:0] VAR202;
wire VAR300;
wire [7:0] VAR377;
wire [7:0] VAR287;
wire VAR153;
wire VAR342;
wire [7:0] VAR441;
wire [7:0] VAR168;
wire [7:0] VAR177;
wire [7:0] VAR355;
wire VAR290 = ~((&VAR441) & (&VAR168));
wire VAR154 = ~&VAR355;
reg VAR72 = 1'b0;
reg [7:0] VAR133 = 1'b0;
VAR2 VAR38 (
.VAR352 (VAR462),
.VAR387 (VAR361),
.VAR271 (1'b0),
.VAR69 (VAR415),
.VAR60 (VAR314)
);
VAR157 VAR384 (
.VAR402 (&VAR312),
.VAR386 (1'b1),
.VAR444 (1'b0),
.VAR278 (1'b1),
.VAR208 (3'd0),
.VAR352 (VAR314),
.VAR69 (VAR251)
);
VAR157 VAR9 (
.VAR402 (1'b1),
.VAR386 (1'b0),
.VAR444 (VAR290),
.VAR278 (1'b0),
.VAR208 (3'd0),
.VAR352 (VAR202[0]),
.VAR69 (VAR300)
);
assign VAR378 = VAR300;
always @(posedge VAR300, posedge VAR290) begin
if (VAR290) begin
VAR72 <= 1'b0;
end else begin
VAR72 <= 1'b1;
end
end
genvar VAR416;
generate
for (VAR416 = 0; VAR416 < 8; VAR416 = VAR416 + 1) begin
VAR157 VAR110 (
.VAR402 (1'b1),
.VAR386 (1'b0),
.VAR444 (VAR154),
.VAR278 (1'b0),
.VAR208 (3'd0),
.VAR352 (VAR377[VAR416]),
.VAR69 (VAR287[VAR416])
);
always @(posedge VAR287[VAR416], posedge VAR154) begin
if (VAR154) begin
VAR133[VAR416] <= 1'b0;
end else begin
VAR133[VAR416] <= 1'b1;
end
end
end
endgenerate
VAR219 #(
.VAR93(4)
)
VAR170 (
.clk(VAR378),
.rst(~VAR153),
.out(VAR292)
);
wire [5:0] VAR73;
wire [63:0] VAR1;
wire VAR114;
wire [5:0] VAR207;
wire [1:0] VAR109;
wire [63:0] VAR49;
wire [1:0] VAR253;
wire [5:0] VAR429;
wire [63:0] VAR181;
wire VAR453;
wire [5:0] VAR182;
wire [1:0] VAR364;
wire [63:0] VAR214;
wire [1:0] VAR209;
wire [5:0] VAR405;
wire [63:0] VAR345;
wire VAR363;
wire [5:0] VAR4;
wire [1:0] VAR391;
wire [63:0] VAR294;
wire [1:0] VAR331;
wire [5:0] VAR35;
wire [63:0] VAR121;
wire VAR126;
wire [5:0] VAR281;
wire [1:0] VAR446;
wire [63:0] VAR105;
wire [1:0] VAR327;
wire [5:0] VAR343;
wire [63:0] VAR272;
wire VAR42;
wire [5:0] VAR148;
wire [1:0] VAR461;
wire [63:0] VAR288;
wire [1:0] VAR75;
wire [5:0] VAR344;
wire [63:0] VAR40;
wire VAR280;
wire [5:0] VAR122;
wire [1:0] VAR360;
wire [63:0] VAR59;
wire [1:0] VAR307;
wire [5:0] VAR204;
wire [63:0] VAR46;
wire VAR366;
wire [5:0] VAR128;
wire [1:0] VAR95;
wire [63:0] VAR205;
wire [1:0] VAR149;
wire [5:0] VAR262;
wire [63:0] VAR434;
wire VAR21;
wire [5:0] VAR430;
wire [1:0] VAR132;
wire [63:0] VAR259;
wire [1:0] VAR447;
VAR433
VAR185 (
.VAR381(&VAR72),
.VAR203(&VAR133),
.VAR451(VAR17),
.VAR442(VAR226),
.VAR77(1'b0),
.VAR52(1'b0),
.VAR291(1'b0),
.VAR68(1'b0),
.VAR220(),
.VAR249(VAR153),
.VAR224(VAR342),
.VAR332({2{VAR415}}),
.VAR37(),
.VAR449(),
.VAR112({VAR450, VAR64, VAR305, VAR315, VAR276, VAR74, VAR195, VAR79}),
.VAR200({VAR24, VAR303, VAR47, VAR255, VAR245, VAR452, VAR258, VAR130}),
.VAR164(VAR287),
.VAR140(VAR287),
.VAR286({VAR121, VAR345, VAR181, VAR1, VAR434, VAR46, VAR40, VAR272}),
.VAR329({VAR35, VAR405, VAR429, VAR73, VAR262, VAR204, VAR344, VAR343}),
.VAR376({8{1'b0}}),
.VAR89({8{VAR300}}),
.VAR394({8{VAR300}}),
.VAR215(VAR312),
.VAR118({VAR403, VAR439, VAR171, VAR206, VAR161, VAR250, VAR125, VAR23}),
.VAR160({VAR420, VAR390, VAR275, VAR16, VAR31, VAR97, VAR427, VAR36}),
.VAR369({VAR126, VAR363, VAR453, VAR114, VAR21, VAR366, VAR280, VAR42}),
.VAR136({VAR105, VAR294, VAR214, VAR49, VAR259, VAR205, VAR59, VAR288}),
.VAR141({VAR327, VAR331, VAR209, VAR253, VAR447, VAR149, VAR307, VAR75}),
.VAR174({VAR281, VAR4, VAR182, VAR207, VAR430, VAR128, VAR122, VAR148}),
.VAR437({VAR446, VAR391, VAR364, VAR109, VAR132, VAR95, VAR360, VAR461}),
.VAR62(VAR377),
.VAR180(VAR355),
.VAR227(VAR177),
.VAR337(),
.VAR150(VAR202),
.VAR71(VAR168),
.VAR263(VAR441)
);
assign VAR265 = VAR378;
assign VAR199 = VAR292;
assign VAR216 = VAR287[4];
VAR219 #(
.VAR93(4)
)
VAR163 (
.clk(VAR216),
.rst(~VAR342),
.out(VAR409)
);
VAR194 #(
.VAR44(1)
)
VAR380 (
.VAR6(VAR265),
.VAR392(VAR199),
.VAR94(VAR216),
.VAR347(VAR409),
.VAR196(VAR179),
.VAR317(VAR20),
.VAR232(VAR310),
.VAR108(VAR234),
.VAR235(VAR1),
.VAR139(VAR73),
.VAR14(VAR49),
.VAR239(VAR207),
.VAR412(VAR114),
.VAR50(VAR113),
.VAR353()
);
assign VAR228 = VAR378;
assign VAR236 = VAR292;
assign VAR419 = VAR287[5];
VAR219 #(
.VAR93(4)
)
VAR241 (
.clk(VAR419),
.rst(~VAR342),
.out(VAR385)
);
VAR194 #(
.VAR44(1)
)
VAR330 (
.VAR6(VAR228),
.VAR392(VAR236),
.VAR94(VAR419),
.VAR347(VAR385),
.VAR196(VAR184),
.VAR317(VAR183),
.VAR232(VAR107),
.VAR108(VAR115),
.VAR235(VAR181),
.VAR139(VAR429),
.VAR14(VAR214),
.VAR239(VAR182),
.VAR412(VAR453),
.VAR50(VAR261),
.VAR353()
);
assign VAR221 = VAR378;
assign VAR400 = VAR292;
assign VAR156 = VAR287[6];
VAR219 #(
.VAR93(4)
)
VAR127 (
.clk(VAR156),
.rst(~VAR342),
.out(VAR414)
);
VAR194 #(
.VAR44(1)
)
VAR217 (
.VAR6(VAR221),
.VAR392(VAR400),
.VAR94(VAR156),
.VAR347(VAR414),
.VAR196(VAR145),
.VAR317(VAR323),
.VAR232(VAR413),
.VAR108(VAR457),
.VAR235(VAR345),
.VAR139(VAR405),
.VAR14(VAR294),
.VAR239(VAR4),
.VAR412(VAR363),
.VAR50(VAR162),
.VAR353()
);
assign VAR131 = VAR378;
assign VAR367 = VAR292;
assign VAR230 = VAR287[7];
VAR219 #(
.VAR93(4)
)
VAR99 (
.clk(VAR230),
.rst(~VAR342),
.out(VAR289)
);
VAR194 #(
.VAR44(1)
)
VAR88 (
.VAR6(VAR131),
.VAR392(VAR367),
.VAR94(VAR230),
.VAR347(VAR289),
.VAR196(VAR273),
.VAR317(VAR368),
.VAR232(VAR129),
.VAR108(VAR285),
.VAR235(VAR121),
.VAR139(VAR35),
.VAR14(VAR105),
.VAR239(VAR281),
.VAR412(VAR126),
.VAR50(VAR158),
.VAR353()
);
assign VAR335 = VAR378;
assign VAR237 = VAR292;
assign VAR134 = VAR287[0];
VAR219 #(
.VAR93(4)
)
VAR422 (
.clk(VAR134),
.rst(~VAR342),
.out(VAR318)
);
VAR194 #(
.VAR44(1)
)
VAR137 (
.VAR6(VAR335),
.VAR392(VAR237),
.VAR94(VAR134),
.VAR347(VAR318),
.VAR196(VAR257),
.VAR317(VAR96),
.VAR232(VAR264),
.VAR108(VAR313),
.VAR235(VAR272),
.VAR139(VAR343),
.VAR14(VAR288),
.VAR239(VAR148),
.VAR412(VAR42),
.VAR50(VAR165),
.VAR353()
);
assign VAR268 = VAR378;
assign VAR3 = VAR292;
assign VAR388 = VAR287[1];
VAR219 #(
.VAR93(4)
)
VAR349 (
.clk(VAR388),
.rst(~VAR342),
.out(VAR51)
);
VAR194 #(
.VAR44(1)
)
VAR45 (
.VAR6(VAR268),
.VAR392(VAR3),
.VAR94(VAR388),
.VAR347(VAR51),
.VAR196(VAR354),
.VAR317(VAR61),
.VAR232(VAR197),
.VAR108(VAR187),
.VAR235(VAR40),
.VAR139(VAR344),
.VAR14(VAR59),
.VAR239(VAR122),
.VAR412(VAR280),
.VAR50(VAR81),
.VAR353()
);
assign VAR53 = VAR378;
assign VAR212 = VAR292;
assign VAR399 = VAR287[2];
VAR219 #(
.VAR93(4)
)
VAR421 (
.clk(VAR399),
.rst(~VAR342),
.out(VAR58)
);
VAR194 #(
.VAR44(1)
)
VAR459 (
.VAR6(VAR53),
.VAR392(VAR212),
.VAR94(VAR399),
.VAR347(VAR58),
.VAR196(VAR29),
.VAR317(VAR383),
.VAR232(VAR322),
.VAR108(VAR5),
.VAR235(VAR46),
.VAR139(VAR204),
.VAR14(VAR205),
.VAR239(VAR128),
.VAR412(VAR366),
.VAR50(VAR423),
.VAR353()
);
assign VAR443 = VAR378;
assign VAR86 = VAR292;
assign VAR339 = VAR287[3];
VAR219 #(
.VAR93(4)
)
VAR244 (
.clk(VAR339),
.rst(~VAR342),
.out(VAR373)
);
VAR194 #(
.VAR44(1)
)
VAR426 (
.VAR6(VAR443),
.VAR392(VAR86),
.VAR94(VAR339),
.VAR347(VAR373),
.VAR196(VAR454),
.VAR317(VAR393),
.VAR232(VAR188),
.VAR108(VAR223),
.VAR235(VAR434),
.VAR139(VAR262),
.VAR14(VAR259),
.VAR239(VAR430),
.VAR412(VAR21),
.VAR50(VAR395),
.VAR353()
);
VAR304
VAR279 (
.clk(VAR378),
.rst(VAR292),
.VAR190(VAR8),
.VAR362(VAR362),
.VAR267(VAR265),
.VAR445(VAR199),
.VAR238(VAR179),
.VAR33(VAR20),
.VAR297(VAR216),
.VAR438(VAR409),
.VAR389(VAR310),
.VAR231(VAR234),
.VAR65(VAR228),
.VAR266(VAR236),
.VAR233(VAR184),
.VAR175(VAR183),
.VAR432(VAR419),
.VAR56(VAR385),
.VAR308(VAR107),
.VAR18(VAR115),
.VAR346(VAR221),
.VAR325(VAR400),
.VAR102(VAR145),
.VAR222(VAR323),
.VAR103(VAR156),
.VAR144(VAR414),
.VAR242(VAR413),
.VAR82(VAR457),
.VAR169(VAR131),
.VAR201(VAR367),
.VAR299(VAR273),
.VAR458(VAR368),
.VAR260(VAR230),
.VAR159(VAR289),
.VAR100(VAR129),
.VAR83(VAR285),
.VAR407(VAR335),
.VAR248(VAR237),
.VAR320(VAR257),
.VAR306(VAR96),
.VAR43(VAR134),
.VAR19(VAR318),
.VAR63(VAR264),
.VAR147(VAR313),
.VAR178(VAR268),
.VAR365(VAR3),
.VAR80(VAR354),
.VAR440(VAR61),
.VAR302(VAR388),
.VAR396(VAR51),
.VAR269(VAR197),
.VAR296(VAR187),
.VAR333(VAR53),
.VAR229(VAR212),
.VAR398(VAR29),
.VAR351(VAR383),
.VAR39(VAR399),
.VAR274(VAR58),
.VAR284(VAR322),
.VAR27(VAR5),
.VAR319(VAR443),
.VAR166(VAR86),
.VAR198(VAR454),
.VAR340(VAR393),
.VAR397(VAR339),
.VAR34(VAR373),
.VAR76(VAR188),
.VAR375(VAR223),
.VAR32(VAR32),
.VAR240(VAR90)
);
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/and4/sky130_fd_sc_hs__and4.pp.symbol.v
| 1,264 |
module MODULE1 (
input VAR4 ,
input VAR1 ,
input VAR6 ,
input VAR7 ,
output VAR3 ,
input VAR5,
input VAR2
);
endmodule
|
apache-2.0
|
monotone-RK/FACE
|
IEICE-Trans/8-way/src/riffa/reorder_queue.v
| 12,607 |
module MODULE1
parameter VAR68 = 9'd128,
parameter VAR86 = 4'd12,
parameter VAR54 = 512, parameter VAR58 = 5, parameter VAR70 = VAR68/32,
parameter VAR45 = VAR83(VAR70+1),
parameter VAR60 = 2**VAR58,
parameter VAR80 = VAR54/4,
parameter VAR84 = VAR32(VAR80+1),
parameter VAR91 = VAR32(VAR80/VAR70), parameter VAR13 = VAR58 + VAR91
)
(
input VAR33, input VAR30, input VAR15, input [VAR68-1:0] VAR34, input [(VAR68/32)-1:0] VAR7, input VAR57, input [VAR32(VAR68/32)-1:0] VAR29, input VAR22, input [VAR32(VAR68/32)-1:0] VAR40, input VAR79, input VAR35, input [VAR58-1:0] VAR50,
input [5:0] VAR76, input VAR4, output [VAR58-1:0] VAR61, output VAR87,
output [VAR68-1:0] VAR82, output [(VAR86*VAR45)-1:0] VAR1, output [VAR86-1:0] VAR5, output [VAR86-1:0] VAR44, output [(VAR86*VAR45)-1:0] VAR37, output [VAR86-1:0] VAR39, output [VAR86-1:0] VAR75, output [(VAR86*VAR45)-1:0] VAR6, output [VAR86-1:0] VAR8, output [VAR86-1:0] VAR11 );
wire [(VAR13*VAR70)-1:0] VAR36;
wire [VAR68-1:0] VAR2;
wire [VAR70-1:0] VAR27;
wire [VAR58-1:0] VAR56;
wire [VAR84-1:0] VAR74;
wire VAR17;
wire VAR52;
wire VAR26;
wire VAR12;
wire VAR67;
wire [VAR13-1:0] VAR55;
wire [VAR68-1:0] VAR3;
wire [VAR58-1:0] VAR51;
wire [(1+1+1+1+VAR84)-1:0] VAR81;
wire [5:0] VAR63;
wire [VAR60-1:0] VAR25;
wire [VAR60-1:0] VAR49;
reg [VAR58-1:0] VAR92=0;
reg VAR18=0;
reg [VAR60-1:0] VAR59=0;
reg [VAR60-1:0] VAR46=0;
reg [VAR60-1:0] VAR69=0;
assign VAR61 = VAR92;
assign VAR87 = VAR18;
always @ (posedge VAR33) begin
if (VAR30) begin
VAR92 <= 0;
VAR46 <= 0;
VAR18 <= 0;
end
else begin
if (VAR4 & VAR87) begin
VAR92 <= VAR92 + 1'd1;
VAR46 <= 1<<VAR92;
VAR18 <= !VAR69[VAR92 + 1'd1];
end
else begin
VAR46 <= 0;
VAR18 <= !VAR69[VAR92];
end
end
end
always @ (posedge VAR33) begin
if (VAR30) begin
VAR69 <= 0;
VAR59 <= 0;
end
else begin
VAR69 <= (VAR69 | VAR46) & ~VAR49;
VAR59 <= (VAR59 | VAR25) & ~VAR49;
end
end
genvar VAR62;
generate
for (VAR62 = 0; VAR62 < VAR70; VAR62 = VAR62 + 1) begin : VAR42
VAR38
.VAR66(VAR60*VAR80/VAR70)
)
VAR43
(
.VAR33(VAR33),
.VAR72(VAR36[VAR13*VAR62 +:VAR13]),
.VAR78(VAR27[VAR62]),
.VAR48(VAR2[32*VAR62 +:32]),
.VAR24(VAR55),
.VAR85(VAR3[32*VAR62 +:32])
);
end
endgenerate
VAR38
.VAR66(VAR60))
VAR23
(
.VAR33(VAR33),
.VAR72(VAR56),
.VAR78((VAR12 | VAR67) & VAR26),
.VAR48({VAR12, VAR67, VAR52, VAR17, VAR74}),
.VAR24(VAR51),
.VAR85(VAR81)
);
VAR38
.VAR66(VAR60))
VAR21
(
.VAR33(VAR33),
.VAR72(VAR92),
.VAR78(VAR4 & VAR87),
.VAR48(VAR76),
.VAR24(VAR51),
.VAR85(VAR63)
);
VAR77
.VAR68(VAR68),
.VAR58(VAR58),
.VAR84(VAR84),
.VAR91(VAR91),
.VAR13(VAR13)
)
VAR88
(
.VAR33(VAR33),
.VAR30(VAR30),
.VAR15(VAR15),
.VAR57 (VAR57),
.VAR29 (VAR29[VAR32(VAR68/32)-1:0]),
.VAR22 (VAR22),
.VAR40 (VAR40[VAR32(VAR68/32)-1:0]),
.VAR34 (VAR34),
.VAR7 (VAR7),
.VAR79(VAR79),
.VAR35(VAR35),
.VAR50(VAR50),
.VAR19(VAR25),
.VAR16(VAR49),
.VAR89(VAR36),
.VAR20(VAR2),
.VAR14(VAR27),
.VAR73(VAR26),
.VAR9(VAR56),
.VAR71(VAR74),
.VAR64(VAR17),
.VAR10(VAR52),
.VAR65(VAR12),
.VAR28(VAR67)
);
VAR47
.VAR68(VAR68),
.VAR86(VAR86),
.VAR58(VAR58),
.VAR84(VAR84),
.VAR91(VAR91),
.VAR13(VAR13)
)
VAR41
(
.VAR33(VAR33),
.VAR30(VAR30),
.VAR53(VAR55),
.VAR34(VAR3),
.VAR90(VAR59),
.VAR16(VAR49),
.VAR50(VAR51),
.VAR31(VAR63),
.VAR71(VAR81[0 +:VAR84]),
.VAR64(VAR81[VAR84]),
.VAR10(VAR81[VAR84+1]),
.VAR28(VAR81[VAR84+2]),
.VAR65(VAR81[VAR84+3]),
.VAR82(VAR82),
.VAR1(VAR1),
.VAR5(VAR5),
.VAR44(VAR44),
.VAR37(VAR37),
.VAR39(VAR39),
.VAR75(VAR75),
.VAR6(VAR6),
.VAR8(VAR8),
.VAR11(VAR11)
);
endmodule
|
mit
|
scalable-networks/ext
|
uhd/fpga/usrp2/fifo/fifo_2clock_cascade.v
| 2,621 |
module MODULE1
(input VAR31, input [VAR8-1:0] VAR23, input VAR18, output VAR24,
output [15:0] VAR27, output [15:0] VAR26,
input VAR6, output [VAR8-1:0] VAR19, output VAR10, input VAR3,
output [15:0] VAR9, output [15:0] VAR32,
input VAR13);
wire [VAR8-1:0] VAR15, VAR20;
wire VAR14, VAR28, VAR1, VAR22;
wire [VAR4-1:0] VAR34, VAR12;
wire [4:0] VAR30, VAR21, VAR7, VAR33;
wire [15:0] VAR17, VAR29;
VAR25 #(.VAR8(VAR8)) VAR16
(.clk(VAR31), .reset(VAR13), .VAR5(0),
.VAR23(VAR23), .VAR18(VAR18), .VAR24(VAR24),
.VAR19(VAR15), .VAR10(VAR14), .VAR3(VAR1),
.VAR27(VAR30), .VAR9(VAR21) );
VAR2 #(.VAR8(VAR8),.VAR4(VAR4)) VAR2
(.VAR31(VAR31), .VAR23(VAR15), .VAR18(VAR14), .VAR24(VAR1), .VAR27(VAR17),
.VAR6(VAR6), .VAR19(VAR20), .VAR10(VAR28), .VAR3(VAR22), .VAR9(VAR29),
.VAR13(VAR13) );
VAR25 #(.VAR8(VAR8)) VAR11
(.clk(VAR6), .reset(VAR13), .VAR5(0),
.VAR23(VAR20), .VAR18(VAR28), .VAR24(VAR22),
.VAR19(VAR19), .VAR10(VAR10), .VAR3(VAR3),
.VAR27(VAR7), .VAR9(VAR33));
assign VAR27 = {11'b0,VAR30} + VAR17;
assign VAR9 = {11'b0,VAR33} + VAR29;
assign VAR26 = {11'b0,VAR30};
assign VAR32 = {11'b0,VAR33};
endmodule
|
gpl-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/oai21/gf180mcu_fd_sc_mcu9t5v0__oai21_4.functional.pp.v
| 1,290 |
module MODULE1( VAR12, VAR8, VAR7, VAR14, VAR1, VAR4 );
input VAR7, VAR8, VAR14;
inout VAR1, VAR4;
output VAR12;
wire VAR10;
not VAR13( VAR10, VAR7 );
wire VAR2;
not VAR6( VAR2, VAR8 );
wire VAR9;
and VAR15( VAR9, VAR10, VAR2 );
wire VAR5;
not VAR11( VAR5, VAR14 );
or VAR3( VAR12, VAR9, VAR5 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/o2bb2a/sky130_fd_sc_hd__o2bb2a.behavioral.v
| 1,658 |
module MODULE1 (
VAR5 ,
VAR8,
VAR15,
VAR12 ,
VAR6
);
output VAR5 ;
input VAR8;
input VAR15;
input VAR12 ;
input VAR6 ;
supply1 VAR3;
supply0 VAR2;
supply1 VAR9 ;
supply0 VAR4 ;
wire VAR16 ;
wire VAR11 ;
wire VAR10;
nand VAR13 (VAR16 , VAR15, VAR8 );
or VAR14 (VAR11 , VAR6, VAR12 );
and VAR1 (VAR10, VAR16, VAR11);
buf VAR7 (VAR5 , VAR10 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/a2111oi/sky130_fd_sc_ls__a2111oi.symbol.v
| 1,401 |
module MODULE1 (
input VAR5,
input VAR2,
input VAR4,
input VAR3,
input VAR10,
output VAR1
);
supply1 VAR9;
supply0 VAR8;
supply1 VAR7 ;
supply0 VAR6 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/sdfsbp/sky130_fd_sc_hs__sdfsbp.behavioral.v
| 2,843 |
module MODULE1 (
VAR22 ,
VAR8 ,
VAR17 ,
VAR6 ,
VAR20 ,
VAR23 ,
VAR4,
VAR3 ,
VAR18
);
input VAR22 ;
input VAR8 ;
output VAR17 ;
output VAR6 ;
input VAR20 ;
input VAR23 ;
input VAR4;
input VAR3 ;
input VAR18 ;
wire VAR5 ;
wire VAR9 ;
wire VAR13 ;
reg VAR15 ;
wire VAR2 ;
wire VAR11 ;
wire VAR21 ;
wire VAR28;
wire VAR1 ;
wire VAR31 ;
wire VAR14 ;
wire VAR7 ;
wire VAR12 ;
wire VAR26 ;
wire VAR19 ;
not VAR29 (VAR9 , VAR28 );
VAR24 VAR16 (VAR13, VAR2, VAR11, VAR21 );
VAR27 VAR10 (VAR5 , VAR13, VAR1, VAR9, VAR15, VAR3, VAR18);
assign VAR31 = ( VAR3 === 1'b1 );
assign VAR14 = ( ( VAR28 === 1'b1 ) && VAR31 );
assign VAR7 = ( ( VAR21 === 1'b0 ) && VAR14 );
assign VAR12 = ( ( VAR21 === 1'b1 ) && VAR14 );
assign VAR26 = ( ( VAR2 !== VAR11 ) && VAR14 );
assign VAR19 = ( ( VAR4 === 1'b1 ) && VAR31 );
buf VAR30 (VAR17 , VAR5 );
not VAR25 (VAR6 , VAR5 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hvl
|
cells/dfsbp/sky130_fd_sc_hvl__dfsbp.blackbox.v
| 1,360 |
module MODULE1 (
VAR4 ,
VAR1 ,
VAR9 ,
VAR8 ,
VAR6
);
output VAR4 ;
output VAR1 ;
input VAR9 ;
input VAR8 ;
input VAR6;
supply1 VAR2;
supply0 VAR3;
supply1 VAR5 ;
supply0 VAR7 ;
endmodule
|
apache-2.0
|
trivoldus28/pulsarch-verilog
|
design/sys/iop/sparc/lsu/rtl/lsu_pcx_qmon.v
| 4,989 |
module MODULE1 (
VAR18, VAR22, VAR15,
VAR14, VAR13, VAR21, VAR5, VAR1, VAR23, VAR24
) ;
input VAR14 ;
input VAR13;
input VAR21;
input VAR5;
input VAR1;
output VAR18;
input VAR23 ; input VAR24 ;
output VAR22 ; output VAR15 ;
wire clk;
wire reset ,VAR25 ;
wire VAR19, VAR4 ;
wire VAR10, VAR7 ;
wire VAR16, VAR17 ;
wire VAR8,VAR6;
VAR12 VAR20(.din (VAR13),
.VAR3 (VAR25),
.clk (clk), .VAR1(VAR1), .VAR5(), .VAR18(),
.VAR9 (VAR21));
assign reset = ~VAR25;
assign clk = VAR14;
assign VAR19 = reset |
(VAR23 & ~VAR10) ; assign VAR10 = ( VAR6 & VAR23) | (~(VAR8 & ~VAR23) & VAR24) ;
assign VAR16 = VAR10 ;
VAR11 VAR2 (
.din (VAR16), .VAR3 (VAR8),
.rst (VAR19), .en (VAR10), .clk (clk),
.VAR1 (1'b0), .VAR5 (), .VAR18 ()
);
assign VAR4 = reset |
(VAR23 & ~VAR7) ;
assign VAR7 = VAR8 & VAR24
& ~(VAR23 & ~VAR6) ; assign VAR17 = VAR7 ;
VAR11 VAR26 (
.din (VAR17), .VAR3 (VAR6),
.rst (VAR4), .en (VAR7), .clk (clk),
.VAR1 (1'b0), .VAR5 (), .VAR18 ()
);
assign VAR22 = ~VAR6 ;
assign VAR15 =
(~VAR8 & ~VAR24) ;
endmodule
|
gpl-2.0
|
AmeerAbdelhadi/2D-Binary-Content-Addressable-Memory-BCAM
|
bcam.v
| 11,070 |
module MODULE1
localparam VAR3 = VAR50(VAR14);
reg VAR37;
reg [VAR3-1:0] VAR44;
reg [VAR45 -1:0] VAR1,VAR41;
always @(posedge clk, posedge rst)
if (rst) {VAR37,VAR44,VAR1,VAR41} <= {(1 +VAR3+VAR45 +VAR45 ){1'b0}};
else {VAR37,VAR44,VAR1,VAR41} <= { VAR48,VAR18,VAR26,VAR40 };
reg VAR25;
reg [VAR3-1:0] VAR38;
reg [VAR45 -1:0] VAR47,VAR15;
always @(posedge clk, posedge rst)
if (rst) {VAR25,VAR38,VAR47,VAR15} <= {(1 +VAR3 +VAR45 +VAR45 ){1'b0}};
else {VAR25,VAR38,VAR47,VAR15} <= { VAR37,VAR44,VAR1,VAR41 };
wire VAR21 = VAR6 ? VAR25 : ( VAR22 ? VAR37 : VAR48 );
wire [VAR3-1:0] VAR46 = VAR6 ? VAR38 : ( VAR22 ? VAR44 : VAR18 );
wire [VAR45 -1:0] VAR8 = VAR6 ? VAR47 : ( VAR22 ? VAR1 : VAR26 );
wire [VAR45 -1:0] VAR39 = VAR6 ? VAR15 : ( VAR5 ? VAR41 : VAR40 );
wire VAR7;
wire [VAR3-1:0] VAR51;
generate
if (VAR35=="VAR28") begin
VAR9 #( .VAR14 ( VAR14 ), .VAR45 ( VAR45 ), .VAR36 ( VAR36 )) VAR23 ( .clk ( clk ), .rst ( rst ), .VAR48 ( VAR21 ), .VAR18( VAR46 ), .VAR26( VAR8 ), .VAR40( VAR39 ), .VAR33( VAR7 ), .VAR32( VAR51 )); end
else if (VAR35=="VAR10") begin
VAR20 #( .VAR14 ( VAR14 ), .VAR45 ( VAR45 ), .VAR6 ( VAR6 ), .VAR36 ( VAR36 )) VAR19 ( .clk ( clk ), .rst ( rst ), .VAR48 ( VAR21 ), .VAR18( VAR46 ), .VAR26( VAR8 ), .VAR40( VAR39 ), .VAR33( VAR7 ), .VAR32( VAR51 )); end
else if (VAR35=="VAR43") begin
VAR2 #( .VAR14 ( VAR14 ), .VAR45 ( VAR45 ), .VAR11 ( 524288 ), .VAR31 ( VAR31 ), .VAR6 ( VAR6 ), .VAR36 ( VAR36 ), .VAR27 ( VAR27 )) VAR12 ( .clk ( clk ), .rst ( rst ), .VAR48 ( VAR21 ), .VAR18( VAR46 ), .VAR26( VAR8 ), .VAR40( VAR39 ), .VAR33( VAR7 ), .VAR32( VAR51 )); end
else if (VAR35=="VAR16") begin
VAR2 #( .VAR14 ( VAR14 ), .VAR45 ( VAR45 ), .VAR11 ( 9 ), .VAR31 ( VAR31 ), .VAR6 ( VAR6 ), .VAR36 ( VAR36 ), .VAR27 ( VAR27 )) VAR13 ( .clk ( clk ), .rst ( rst ), .VAR48 ( VAR21 ), .VAR18( VAR46 ), .VAR26( VAR8 ), .VAR40( VAR39 ), .VAR33( VAR7 ), .VAR32( VAR51 )); end
else begin VAR24 #( .VAR14 ( VAR14 ), .VAR45 ( VAR45 ), .VAR17 ( VAR17 ), .VAR31 ( VAR31 ), .VAR6 ( VAR6 ), .VAR36 ( VAR36 ), .VAR27 ( VAR27 )) VAR42 ( .clk ( clk ), .rst ( rst ), .VAR48 ( VAR21 ), .VAR18( VAR46 ), .VAR26( VAR8 ), .VAR40( VAR39 ), .VAR33( VAR7 ), .VAR32( VAR51 )); end
endgenerate
reg VAR30;
reg [VAR3-1:0] VAR29;
always @(posedge clk, posedge rst)
if (rst) {VAR30,VAR29} <= {(1 +VAR3 ){1'b0}};
end
else {VAR30,VAR29} <= { VAR7,VAR51 };
reg VAR34;
reg [VAR3-1:0] VAR4;
always @(posedge clk, posedge rst)
if (rst) {VAR34,VAR4} <= {(1 +VAR3 ){1'b0}};
else {VAR34,VAR4} <= { VAR30,VAR29 };
assign VAR33 = VAR6 ? VAR34 : ( VAR49 ? VAR30 : VAR7);
assign VAR32 = VAR6 ? VAR4 : ( VAR49 ? VAR29 : VAR51);
endmodule
|
bsd-3-clause
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/edfxbp/sky130_fd_sc_lp__edfxbp_1.v
| 2,375 |
module MODULE1 (
VAR8 ,
VAR5 ,
VAR11 ,
VAR1 ,
VAR2 ,
VAR6,
VAR9,
VAR4 ,
VAR3
);
output VAR8 ;
output VAR5 ;
input VAR11 ;
input VAR1 ;
input VAR2 ;
input VAR6;
input VAR9;
input VAR4 ;
input VAR3 ;
VAR7 VAR10 (
.VAR8(VAR8),
.VAR5(VAR5),
.VAR11(VAR11),
.VAR1(VAR1),
.VAR2(VAR2),
.VAR6(VAR6),
.VAR9(VAR9),
.VAR4(VAR4),
.VAR3(VAR3)
);
endmodule
module MODULE1 (
VAR8 ,
VAR5,
VAR11,
VAR1 ,
VAR2
);
output VAR8 ;
output VAR5;
input VAR11;
input VAR1 ;
input VAR2 ;
supply1 VAR6;
supply0 VAR9;
supply1 VAR4 ;
supply0 VAR3 ;
VAR7 VAR10 (
.VAR8(VAR8),
.VAR5(VAR5),
.VAR11(VAR11),
.VAR1(VAR1),
.VAR2(VAR2)
);
endmodule
|
apache-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
|
cells/and3/gf180mcu_fd_sc_mcu7t5v0__and3_1.behavioral.pp.v
| 1,316 |
module MODULE1( VAR7, VAR4, VAR9, VAR5, VAR8, VAR6 );
input VAR7, VAR4, VAR9;
inout VAR8, VAR6;
output VAR5;
VAR1 VAR3(.VAR7(VAR7),.VAR4(VAR4),.VAR9(VAR9),.VAR5(VAR5),.VAR8(VAR8),.VAR6(VAR6));
VAR1 VAR2(.VAR7(VAR7),.VAR4(VAR4),.VAR9(VAR9),.VAR5(VAR5),.VAR8(VAR8),.VAR6(VAR6));
|
apache-2.0
|
ShepardSiegel/ocpi
|
coregen/ddr3_s4_uniphy/ddr3_s4_uniphy_example_design/example_project/ddr3_s4_uniphy_example/submodules/altera_reset_controller.v
| 3,485 |
module MODULE1
parameter VAR27 = 6,
parameter VAR17 = "VAR12",
parameter VAR5 = 2
)
(
input VAR24,
input VAR18,
input VAR13,
input VAR15,
input VAR26,
input VAR2,
input VAR6,
input VAR11,
input VAR3,
input VAR9,
input VAR21,
input VAR19,
input VAR23,
input VAR4,
input VAR8,
input VAR10,
input clk,
output VAR25
);
localparam VAR22 = (VAR17 == "VAR12");
wire VAR14;
assign VAR14 = (
VAR24 |
VAR18 |
VAR13 |
VAR15 |
VAR26 |
VAR2 |
VAR6 |
VAR11 |
VAR3 |
VAR9 |
VAR21 |
VAR19 |
VAR23 |
VAR4 |
VAR8 |
VAR10
);
generate if (VAR17 == "none") begin
assign VAR25 = VAR14;
end else begin
VAR1
.VAR7 (VAR5),
.VAR22(VAR22)
)
VAR20
(
.clk (clk),
.VAR16 (VAR14),
.VAR25 (VAR25)
);
end
endgenerate
endmodule
|
lgpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/nor4/sky130_fd_sc_lp__nor4.functional.v
| 1,329 |
module MODULE1 (
VAR1,
VAR3,
VAR5,
VAR6,
VAR2
);
output VAR1;
input VAR3;
input VAR5;
input VAR6;
input VAR2;
wire VAR7;
nor VAR4 (VAR7, VAR3, VAR5, VAR6, VAR2 );
buf VAR8 (VAR1 , VAR7 );
endmodule
|
apache-2.0
|
sergev/vak-opensource
|
hardware/basys3/abacus/Seg_Scroll_QU.v
| 1,091 |
module MODULE1(
input clk,
input VAR5,
input [19:0] VAR3,
output [15:0] VAR6
);
reg [26:0] VAR1;
reg [23:0] VAR4;
always @(posedge VAR2 or posedge VAR5)
begin
if(VAR5==1)
begin
VAR4 [19:0] <= VAR3[19:0];
VAR4 [23:20] <= 'hC;
end
else
begin
VAR4 [19:0] <= VAR4[23:4];
VAR4 [23:20] <= VAR4[3:0];
end
end
assign VAR6[15:0] = VAR4[15:0];
always @(posedge clk or posedge VAR5)
begin
if(VAR5==1)
VAR1<=0;
end
else
VAR1<=VAR1+1;
end
assign VAR2 = VAR1[26];
endmodule
|
apache-2.0
|
mzakharo/usb-de2-fpga
|
support/DE2_NIOS_DEVICE_LED/HW/timer_0.v
| 6,823 |
module MODULE1 (
address,
VAR12,
clk,
VAR30,
VAR25,
VAR23,
irq,
VAR33
)
;
output irq;
output [ 15: 0] VAR33;
input [ 2: 0] address;
input VAR12;
input clk;
input VAR30;
input VAR25;
input [ 15: 0] VAR23;
wire VAR29;
wire VAR5;
wire VAR2;
reg [ 3: 0] VAR18;
wire VAR14;
reg VAR20;
wire VAR3;
wire [ 31: 0] VAR6;
reg [ 31: 0] VAR26;
reg VAR4;
wire VAR9;
wire VAR28;
reg VAR11;
reg [ 31: 0] VAR8;
wire irq;
reg [ 15: 0] VAR31;
wire VAR21;
reg [ 15: 0] VAR27;
wire VAR24;
wire [ 15: 0] VAR32;
reg [ 15: 0] VAR33;
wire VAR16;
wire VAR15;
wire [ 31: 0] VAR13;
wire VAR7;
wire VAR17;
wire VAR19;
wire VAR1;
wire VAR22;
reg VAR10;
assign VAR29 = 1;
always @(posedge clk or negedge VAR30)
begin
if (VAR30 == 0)
VAR8 <= 32'hC34F;
end
else if (VAR20 || VAR11)
if (VAR3 || VAR11)
VAR8 <= VAR6;
else
VAR8 <= VAR8 - 1;
end
assign VAR3 = VAR8 == 0;
assign VAR6 = {VAR31,
VAR27};
always @(posedge clk or negedge VAR30)
begin
if (VAR30 == 0)
VAR11 <= 0;
end
else if (VAR29)
VAR11 <= VAR21 || VAR24;
end
assign VAR9 = VAR17;
assign VAR28 = (VAR1 ) ||
(VAR11 ) ||
(VAR3 && ~VAR5 );
always @(posedge clk or negedge VAR30)
begin
if (VAR30 == 0)
VAR20 <= 1'b0;
end
else if (VAR29)
if (VAR9)
VAR20 <= -1;
else if (VAR28)
VAR20 <= 0;
end
always @(posedge clk or negedge VAR30)
begin
if (VAR30 == 0)
VAR4 <= 0;
end
else if (VAR29)
VAR4 <= VAR3;
end
assign VAR22 = (VAR3) & ~(VAR4);
always @(posedge clk or negedge VAR30)
begin
if (VAR30 == 0)
VAR10 <= 0;
end
else if (VAR29)
if (VAR19)
VAR10 <= 0;
else if (VAR22)
VAR10 <= -1;
end
assign irq = VAR10 && VAR2;
assign VAR32 = ({16 {(address == 2)}} & VAR27) |
({16 {(address == 3)}} & VAR31) |
({16 {(address == 4)}} & VAR13[15 : 0]) |
({16 {(address == 5)}} & VAR13[31 : 16]) |
({16 {(address == 1)}} & VAR18) |
({16 {(address == 0)}} & {VAR20,
VAR10});
always @(posedge clk or negedge VAR30)
begin
if (VAR30 == 0)
VAR33 <= 0;
end
else if (VAR29)
VAR33 <= VAR32;
end
assign VAR24 = VAR12 && ~VAR25 && (address == 2);
assign VAR21 = VAR12 && ~VAR25 && (address == 3);
always @(posedge clk or negedge VAR30)
begin
if (VAR30 == 0)
VAR27 <= 49999;
end
else if (VAR24)
VAR27 <= VAR23;
end
always @(posedge clk or negedge VAR30)
begin
if (VAR30 == 0)
VAR31 <= 0;
end
else if (VAR21)
VAR31 <= VAR23;
end
assign VAR15 = VAR12 && ~VAR25 && (address == 4);
assign VAR16 = VAR12 && ~VAR25 && (address == 5);
assign VAR7 = VAR15 || VAR16;
always @(posedge clk or negedge VAR30)
begin
if (VAR30 == 0)
VAR26 <= 0;
end
else if (VAR7)
VAR26 <= VAR8;
end
assign VAR13 = VAR26;
assign VAR14 = VAR12 && ~VAR25 && (address == 1);
always @(posedge clk or negedge VAR30)
begin
if (VAR30 == 0)
VAR18 <= 0;
end
else if (VAR14)
VAR18 <= VAR23[3 : 0];
end
assign VAR1 = VAR23[3] && VAR14;
assign VAR17 = VAR23[2] && VAR14;
assign VAR5 = VAR18[1];
assign VAR2 = VAR18;
assign VAR19 = VAR12 && ~VAR25 && (address == 0);
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/dfstp/sky130_fd_sc_hdll__dfstp_4.v
| 2,289 |
module MODULE1 (
VAR10 ,
VAR3 ,
VAR8 ,
VAR6,
VAR9 ,
VAR5 ,
VAR4 ,
VAR2
);
output VAR10 ;
input VAR3 ;
input VAR8 ;
input VAR6;
input VAR9 ;
input VAR5 ;
input VAR4 ;
input VAR2 ;
VAR1 VAR7 (
.VAR10(VAR10),
.VAR3(VAR3),
.VAR8(VAR8),
.VAR6(VAR6),
.VAR9(VAR9),
.VAR5(VAR5),
.VAR4(VAR4),
.VAR2(VAR2)
);
endmodule
module MODULE1 (
VAR10 ,
VAR3 ,
VAR8 ,
VAR6
);
output VAR10 ;
input VAR3 ;
input VAR8 ;
input VAR6;
supply1 VAR9;
supply0 VAR5;
supply1 VAR4 ;
supply0 VAR2 ;
VAR1 VAR7 (
.VAR10(VAR10),
.VAR3(VAR3),
.VAR8(VAR8),
.VAR6(VAR6)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
models/udp_dlatch_pr/sky130_fd_sc_ms__udp_dlatch_pr.symbol.v
| 1,360 |
module MODULE1 (
input VAR1 ,
output VAR4 ,
input VAR2,
input VAR3
);
endmodule
|
apache-2.0
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.