repo_name
stringlengths 6
79
| path
stringlengths 4
249
| size
int64 1.02k
768k
| content
stringlengths 15
207k
| license
stringclasses 14
values |
---|---|---|---|---|
theapi/nand2tetris_fpga
|
hack/rtl/verilog/vga_driver.v
| 3,840 |
module MODULE1
(
VAR16,
VAR2,
VAR19,
VAR3,
VAR6,
VAR11,
VAR9,
VAR8,
VAR1,
VAR12
);
input VAR16;
input VAR2;
input [2:0] VAR19; output [10:0] VAR3;
output [10:0] VAR6;
output VAR11;
output VAR9;
output VAR8;
output VAR1;
output VAR12;
reg [10:0] VAR7; reg [10:0] VAR5; reg VAR4;
wire VAR14 = (VAR7 == 975);
reg [9:0] VAR17; reg [10:0] VAR15; reg VAR13;
reg VAR18, VAR20, VAR10;
wire VAR21 = (VAR17 == 527);
always @ (posedge VAR16 or posedge VAR2) begin
if (VAR2) begin
VAR7 <= 0;
VAR17 <= 0;
end
else if (VAR14) begin
VAR7 <= 0;
if (VAR21) begin
VAR17 <= 0;
end else begin
VAR17 <= VAR17 + 1'b1;
end
end else begin
VAR7 <= VAR7 + 1'b1;
end
end
always @ (posedge VAR16 or posedge VAR2) begin
if (VAR2) begin
VAR4 <= 0;
VAR13 <= 0;
VAR18 <= 0;
VAR20 <= 0;
VAR10 <= 0;
VAR5 <= 0;
VAR15 <= 0;
end
else begin
if (VAR7 == 840) begin VAR4 <= 1; end else if (VAR7 == 928) begin VAR4 <= 0; end
if (VAR17 == 493) begin VAR13 <= 1; end else if (VAR17 == 496) begin VAR13 <= 0; end
if (VAR17 > 480 || VAR7 > 800) begin
VAR18 <= 0;
VAR20 <= 0;
VAR10 <= 0;
if (VAR17 > 480) begin
VAR15 <= 0;
end
if (VAR7 > 800) begin
VAR5 <= 0;
end
end
else begin
VAR5 <= VAR7;
VAR15 <= VAR17;
VAR18 <= VAR19[2];
VAR20 <= VAR19[1];
VAR10 <= VAR19[0];
end
end
end
assign VAR1 = VAR4;
assign VAR12 = VAR13;
assign VAR11 = VAR18;
assign VAR9 = VAR20;
assign VAR8 = VAR10;
assign VAR3 = VAR5;
assign VAR6 = VAR15;
endmodule
|
mit
|
cpulabs/mist1032sa
|
src/mist1032sa.v
| 19,691 |
module MODULE1(
input wire VAR167,
input wire VAR106,
input wire VAR204,
input wire VAR42,
input wire VAR199,
output wire VAR157,
input wire VAR258,
output wire VAR78,
input wire VAR47,
output wire [1:0] VAR56, output wire [3:0] VAR262,
output wire VAR6, output wire [31:0] VAR162,
output wire [31:0] VAR52,
input wire VAR111,
output wire VAR186,
input wire [63:0] VAR281,
output wire VAR81, input wire VAR105,
output wire VAR164, output wire [31:0] VAR218,
output wire [31:0] VAR209,
input wire VAR159, output wire VAR51,
input wire [31:0] VAR95,
input wire VAR230,
input wire [5:0] VAR151,
output wire VAR79,
output wire VAR288,
output wire [5:0] VAR101,
output wire VAR71,
output wire VAR38,
output wire [1:0] VAR26,
output wire [31:0] VAR150,
output wire [31:0] VAR179,
input wire VAR149,
output wire VAR246,
input wire VAR5,
output wire VAR132,
input wire [7:0] VAR77,
input wire [31:0] VAR207,
output wire VAR236,
input wire VAR237,
output wire VAR273,
output wire [31:0] VAR286
);
assign VAR246 = 1'b1;
assign VAR132 = 1'b0;
assign VAR236 = 1'b0;
assign VAR273 = 1'b0;
assign VAR286 = 32'h0;
wire VAR180;
wire VAR40;
wire VAR196;
wire [1:0] VAR202;
wire [31:0] VAR255;
wire [31:0] VAR32;
wire VAR68;
wire VAR238;
wire VAR224;
wire VAR109;
wire [63:0] VAR219;
wire [27:0] VAR83;
wire VAR284;
wire VAR70;
wire [1:0] VAR173;
wire [3:0] VAR135;
wire VAR210; wire [13:0] VAR45;
wire [1:0] VAR74;
wire [31:0] VAR277;
wire [31:0] VAR113;
wire [31:0] VAR57;
wire VAR91;
wire VAR9;
wire VAR166;
wire [63:0] VAR128;
wire [27:0] VAR142;
wire VAR127;
wire [31:0] VAR153;
wire VAR44;
wire VAR201;
wire [1:0] VAR155;
wire VAR24;
wire [31:0] VAR249;
wire [31:0] VAR148;
wire VAR14;
wire [31:0] VAR213;
wire VAR191;
wire VAR259;
wire [5:0] VAR28;
wire VAR181;
wire VAR143;
wire VAR261;
wire [31:0] VAR285;
wire [31:0] VAR192;
wire VAR171;
wire [31:0] VAR279;
wire VAR20;
wire [5:0] VAR248;
wire VAR72;
wire VAR275;
wire VAR66;
wire [1:0] VAR54;
wire [3:0] VAR158;
wire VAR115;
wire [31:0] VAR231;
wire [31:0] VAR41;
wire VAR206;
wire VAR188;
wire [63:0] VAR39;
wire VAR228 = VAR66;
wire VAR136;
wire VAR165;
wire [3:0] VAR256;
wire [7:0] VAR154;
wire [31:0] VAR233;
wire VAR19;
wire VAR264;
wire [31:0] VAR272;
reg VAR197;
assign VAR78 = 1'b0;
assign VAR56 = 2'h0;
assign VAR6 = 1'b0;
assign VAR162 = 32'h0;
assign VAR52 = 32'h0;
assign VAR186 = 1'b0;
VAR131 VAR214(
.VAR120(VAR106),
.VAR42(VAR42),
.VAR129(VAR275),
.VAR53(VAR66),
.VAR289(VAR54), .VAR140(VAR158),
.VAR82(VAR115), .VAR43(VAR231),
.VAR281(VAR41),
.VAR152(VAR206),
.VAR47(VAR188),
.VAR52(VAR39)
);
assign VAR78 = VAR275;
assign VAR56 = VAR54;
assign VAR262 = VAR158;
assign VAR6 = VAR115;
assign VAR162 = VAR231;
assign VAR52 = VAR41;
assign VAR186 = VAR188;
assign VAR66 = VAR47;
assign VAR206 = VAR111;
assign VAR39 = VAR281;
wire VAR271;
wire [5:0] VAR232;
wire VAR270;
wire VAR114;
wire [1:0] VAR23;
assign VAR288 = VAR271;
assign VAR101 = VAR232;
assign VAR71 = VAR270;
assign VAR38 = VAR114;
assign VAR26 = VAR23;
VAR63 #(32'h0) VAR126(
.VAR120(VAR167),
.VAR42(VAR42),
.VAR87(VAR180),
.VAR288(VAR271),
.VAR101(VAR232),
.VAR71(VAR270),
.VAR38(VAR114),
.VAR26(VAR23),
.VAR34(VAR40),
.VAR107(VAR196),
.VAR174(VAR202),
.VAR178(VAR255),
.VAR50(VAR32),
.VAR49(VAR68),
.VAR226(VAR238),
.VAR46(VAR224),
.VAR243(VAR109),
.VAR172(VAR219),
.VAR130(VAR83),
.VAR146(VAR284),
.VAR250(VAR70),
.VAR241(VAR173), .VAR104(VAR135),
.VAR269(VAR210), .VAR184(VAR45),
.VAR220(VAR74),
.VAR16(VAR277),
.VAR234(VAR113),
.VAR35(VAR57),
.VAR267(VAR91),
.VAR170(VAR9),
.VAR223(VAR128),
.VAR93(VAR142),
.VAR225(VAR44),
.VAR254(VAR201),
.VAR90(VAR155), .VAR85(VAR24), .VAR168(VAR249),
.VAR266(VAR148),
.VAR216(VAR14 || VAR197),
.VAR260(VAR213),
.VAR36(VAR191),
.VAR251(VAR259),
.VAR189(VAR28),
.VAR31(VAR127),
.VAR134(VAR153)
);
wire VAR96;
wire VAR80;
wire VAR60;
wire [1:0] VAR8;
wire [31:0] VAR244;
wire [1:0] VAR221;
wire [3:0] VAR117;
wire VAR21;
wire [31:0] VAR58;
wire [31:0] VAR283;
wire VAR99;
wire VAR176;
wire VAR98;
wire VAR73;
wire VAR177;
wire [63:0] VAR25;
wire [27:0] VAR183;
VAR252 VAR208(
.VAR120(VAR106),
.VAR42(VAR42),
.VAR141(VAR284),
.VAR62(VAR70),
.VAR175(VAR173),
.VAR203(VAR135),
.VAR194(VAR210),
.VAR110(VAR45),
.VAR89(VAR74),
.VAR240(VAR277),
.VAR217(VAR113),
.VAR223(VAR57),
.VAR146(VAR91),
.VAR215(1'b0),
.VAR185(VAR9),
.VAR35(VAR128),
.VAR22(VAR142),
.VAR280(VAR40),
.VAR195(VAR196),
.VAR198(VAR202),
.VAR97(VAR255),
.VAR65(VAR32),
.VAR34(VAR68),
.VAR161(VAR238),
.VAR94(VAR224),
.VAR227(VAR109),
.VAR182(VAR219),
.VAR108(VAR83),
.VAR78(VAR96),
.VAR47(VAR80),
.VAR1(VAR60),
.VAR48(VAR8),
.VAR247(VAR244),
.VAR56(VAR221),
.VAR262(VAR117),
.VAR6(VAR21),
.VAR162(VAR58),
.VAR52(VAR283),
.VAR111(VAR99),
.VAR186(VAR176),
.VAR211(VAR98),
.VAR278(VAR73),
.VAR12(VAR177),
.VAR281(VAR25),
.VAR169(VAR183)
);
wire [3:0] VAR193;
wire [31:0] VAR118;
wire [63:0] VAR2;
VAR92 VAR268(
.VAR120(VAR106),
.VAR42(VAR42),
.VAR276(VAR180),
.VAR263(VAR96),
.VAR33(VAR80),
.VAR205(VAR60),
.VAR138(VAR8), .VAR274(VAR244), .VAR137(VAR221),
.VAR242(VAR117),
.VAR18(VAR21),
.VAR125(VAR58),
.VAR147(VAR283),
.VAR119(VAR99),
.VAR282(VAR176),
.VAR84(VAR98),
.VAR124(VAR73),
.VAR265(VAR177),
.VAR11(VAR25),
.VAR37(VAR183),
.VAR78(VAR275),
.VAR47(VAR66),
.VAR56(VAR54),
.VAR262(VAR193),
.VAR6(VAR115),
.VAR162(VAR231),
.VAR52(VAR118),
.VAR129(VAR206),
.VAR53(VAR188),
.VAR281(VAR2)
);
VAR239 VAR100(
.VAR10(VAR193),
.VAR253(VAR118),
.VAR235(VAR158),
.VAR17(VAR41)
);
VAR239 VAR139(
.VAR10(4'hf),
.VAR253(VAR39[31:0]),
.VAR235(),
.VAR17(VAR2[31:0])
);
VAR239 VAR75(
.VAR10(4'hf),
.VAR253(VAR39[63:32]),
.VAR235(),
.VAR17(VAR2[63:32])
);
VAR122 VAR15(
.VAR120(VAR106),
.VAR42(VAR42),
.VAR222(VAR127),
.VAR86(VAR153),
.VAR187(VAR44),
.VAR257(VAR201),
.VAR55(VAR155), .VAR67(VAR24), .VAR144(VAR249),
.VAR260(VAR148),
.VAR88(VAR14),
.VAR254(1'b0),
.VAR266(VAR213),
.VAR61(VAR191),
.VAR30(VAR259),
.VAR116(VAR28),
.VAR245(VAR181), .VAR121(VAR143),
.VAR29(VAR261), .VAR112(VAR285),
.VAR4(VAR192),
.VAR229(VAR171),
.VAR287(),
.VAR59(VAR279),
.VAR103(VAR20),
.VAR156(VAR248),
.VAR13(VAR72),
.VAR81(VAR81),
.VAR105(VAR105),
.VAR164(VAR164), .VAR218(VAR218),
.VAR209(VAR209),
.VAR159(VAR159),
.VAR51(VAR51),
.VAR95(VAR95),
.VAR230(VAR230),
.VAR151(VAR151),
.VAR79(VAR79)
);
wire [5:0] VAR200 = VAR232 - 6'd36;
VAR3 VAR64( .VAR120(VAR106),
.VAR145(VAR204), .VAR42(VAR42),
.VAR212(VAR271 && (VAR232 == 6'd36 || VAR232 == 6'd37)),
.VAR160(VAR200[1:0]),
.VAR27(VAR270),
.VAR69(VAR114),
.VAR102(VAR23),
.VAR229(VAR181),
.VAR287(VAR143),
.VAR76(VAR261), .VAR163(VAR285),
.VAR59(VAR192),
.VAR7(VAR171),
.VAR4(VAR279),
.VAR190(VAR20),
.VAR123(VAR248),
.VAR133(VAR72),
.VAR157(VAR157),
.VAR258(VAR258)
);
always@(posedge VAR106 or negedge VAR42)begin
if(!VAR42)begin
VAR197 <= 1'b0;
end
else begin
case(VAR197)
1'b0:
begin
if(!VAR201 && VAR44 && VAR24)begin
VAR197 <= 1'b1;
end
end
1'b1:
begin
VAR197 <= 1'b0;
end
endcase
end
end
endmodule
|
bsd-2-clause
|
drom/pulsar
|
src/pulsar.v
| 1,259 |
module MODULE1 (clk, VAR2);
input clk ;
output VAR2 ;
reg [31:0] VAR6; reg [31:0] VAR3 [0:255]; reg [31:0] VAR1;
reg [31:0] VAR8;
reg [7:0] VAR4; reg VAR7; reg VAR5;
|
mit
|
markusC64/1541ultimate2
|
fpga/nios_c5/nios/synthesis/submodules/nios_nios2_gen2_0_cpu_debug_slave_sysclk.v
| 6,103 |
module MODULE1 (
clk,
VAR7,
VAR26,
VAR13,
VAR28,
VAR12,
VAR8,
VAR1,
VAR30,
VAR2,
VAR17,
VAR4,
VAR21,
VAR27,
VAR22,
VAR29
)
;
output [ 37: 0] VAR12;
output VAR8;
output VAR1;
output VAR30;
output VAR2;
output VAR17;
output VAR4;
output VAR21;
output VAR27;
output VAR22;
output VAR29;
input clk;
input [ 1: 0] VAR7;
input [ 37: 0] VAR26;
input VAR13;
input VAR28;
reg VAR5 ;
reg [ 1: 0] VAR11 ;
reg [ 37: 0] VAR12 ;
reg VAR3 ;
reg VAR18 ;
reg VAR10 ;
wire VAR6;
wire VAR24;
wire VAR8;
wire VAR1;
wire VAR30;
wire VAR2;
wire VAR17;
wire VAR4;
wire VAR21;
wire VAR27;
wire VAR22;
wire VAR29;
wire VAR25;
wire VAR23;
reg VAR14 ;
assign VAR25 = 1'b1;
VAR9 VAR20
(
.clk (clk),
.din (VAR13),
.dout (VAR6),
.VAR15 (VAR25)
);
assign VAR23 = 1'b1;
VAR9 VAR19
(
.clk (clk),
.din (VAR28),
.dout (VAR24),
.VAR15 (VAR23)
);
always @(posedge clk)
begin
VAR18 <= VAR6;
VAR14 <= VAR6 & ~VAR18;
VAR5 <= VAR14;
VAR10 <= VAR24;
VAR3 <= VAR24 & ~VAR10;
end
assign VAR2 = VAR5 && (VAR11 == 2'b00) &&
~VAR12[35] && VAR12[34];
assign VAR29 = VAR5 && (VAR11 == 2'b00) &&
~VAR12[35] && ~VAR12[34];
assign VAR17 = VAR5 && (VAR11 == 2'b00) &&
VAR12[35];
assign VAR8 = VAR5 && (VAR11 == 2'b10) &&
~VAR12[36] &&
VAR12[37];
assign VAR21 = VAR5 && (VAR11 == 2'b10) &&
~VAR12[36] &&
~VAR12[37];
assign VAR1 = VAR5 && (VAR11 == 2'b10) &&
VAR12[36] && ~VAR12[35] &&
VAR12[37];
assign VAR27 = VAR5 && (VAR11 == 2'b10) &&
VAR12[36] && ~VAR12[35] &&
~VAR12[37];
assign VAR30 = VAR5 && (VAR11 == 2'b10) &&
VAR12[36] && VAR12[35] &&
VAR12[37];
assign VAR22 = VAR5 && (VAR11 == 2'b10) &&
VAR12[36] && VAR12[35] &&
~VAR12[37];
assign VAR4 = VAR5 && (VAR11 == 2'b11) &&
VAR12[15];
always @(posedge clk)
begin
if (VAR3)
VAR11 <= VAR7;
if (VAR14)
VAR12 <= VAR26;
end
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/or3b/sky130_fd_sc_hd__or3b_2.v
| 2,209 |
module MODULE2 (
VAR5 ,
VAR8 ,
VAR1 ,
VAR2 ,
VAR6,
VAR3,
VAR10 ,
VAR4
);
output VAR5 ;
input VAR8 ;
input VAR1 ;
input VAR2 ;
input VAR6;
input VAR3;
input VAR10 ;
input VAR4 ;
VAR9 VAR7 (
.VAR5(VAR5),
.VAR8(VAR8),
.VAR1(VAR1),
.VAR2(VAR2),
.VAR6(VAR6),
.VAR3(VAR3),
.VAR10(VAR10),
.VAR4(VAR4)
);
endmodule
module MODULE2 (
VAR5 ,
VAR8 ,
VAR1 ,
VAR2
);
output VAR5 ;
input VAR8 ;
input VAR1 ;
input VAR2;
supply1 VAR6;
supply0 VAR3;
supply1 VAR10 ;
supply0 VAR4 ;
VAR9 VAR7 (
.VAR5(VAR5),
.VAR8(VAR8),
.VAR1(VAR1),
.VAR2(VAR2)
);
endmodule
|
apache-2.0
|
mammenx/synesthesia_moksha
|
wxp/dgn/rtl/altera/sys_pll/sys_pll.v
| 17,407 |
module MODULE1 (
input wire VAR1, input wire rst, output wire VAR5, output wire VAR2, output wire VAR3, output wire VAR7 );
VAR6 VAR4 (
.VAR1 (VAR1), .rst (rst), .VAR5 (VAR5), .VAR2 (VAR2), .VAR3 (VAR3), .VAR7 (VAR7) );
endmodule
|
gpl-3.0
|
hakehuang/pycpld
|
ips/ip/i2c_master_two_ad/I2C_MASTER.v
| 2,843 |
module MODULE1(clk,VAR10,VAR13,VAR14,VAR15,VAR11,VAR2,VAR3
);
input clk;
input VAR3;
input VAR10;
input VAR15;
input VAR11;
reg VAR5,VAR4;
output VAR14;
output VAR2;
inout VAR13;
reg VAR9;
reg VAR2;
reg[7:0] VAR19;
reg[7:0] VAR8;
wire[7:0] VAR1;
reg[7:0] VAR18;
wire ack;
reg[7:0] VAR17[31:0];
reg[7:0] VAR12[31:0];
always @(posedge clk or negedge VAR10)begin
if(!VAR10)begin
VAR9 <= 1'b0;
VAR19 <= 'h0;
VAR17[0] <= 8'd0;
VAR17[1] <= 8'd1;
VAR17[2] <= 8'd2;
VAR17[3] <= 8'd3;
VAR17[4] <= 8'd4;
VAR17[5] <= 8'd5;
VAR17[6] <= 8'd6;
VAR17[7] <= 8'd7;
VAR17[8] <= 8'd8;
VAR17[9] <= 8'd9;
VAR17[10] <= 8'd10;
VAR17[11] <= 8'd11;
VAR17[12] <= 8'd12;
VAR17[13] <= 8'd13;
VAR17[14] <= 8'd14;
VAR17[15] <= 8'd15;
VAR17[16] <= 8'd16;
VAR17[17] <= 8'd17;
VAR17[18] <= 8'd18;
VAR17[19] <= 8'd19;
VAR17[20] <= 8'd20;
VAR17[21] <= 8'd21;
VAR17[22] <= 8'd22;
VAR17[23] <= 8'd23;
VAR17[24] <= 8'd24;
VAR17[25] <= 8'd25;
VAR17[26] <= 8'd26;
VAR17[27] <= 8'd27;
VAR17[28] <= 8'd28;
VAR17[29] <= 8'd29;
VAR17[30] <= 8'd30;
VAR17[31] <= 8'd31;
end
else begin
if(VAR19 > 'd200)begin
VAR9 <= ~VAR9;
VAR19 <= 'h0;
end
else
VAR19 <= VAR19 + 1'b1;
end
end
always @(posedge ack or negedge VAR10)begin
if(!VAR10)begin
VAR8 <= 'h0;
end
else begin
if((VAR8 < 10'd32) && (ack))begin
VAR8 <= VAR8 + 1'b1;
VAR12[VAR8] <= VAR15 ? VAR1 : 8'h0;
end
else begin
VAR8 <= VAR8;
end
end
end
always @(posedge clk or negedge VAR10)begin
if(!VAR10)
VAR2 <= 1'b0;
end
else
VAR2 <=(VAR12[31]== 31) ? 1'b1 : 1'b0;
end
always @(posedge clk or negedge VAR10)begin
if(!VAR10)begin
VAR5 <= 1'b0;
VAR4 <= 1'b0;
VAR18 <= 'h0;
end
else begin
if(VAR8 == 8'd32)begin
VAR5 <= 1'b0;
VAR4 <= 1'b0;
end
else begin
if(VAR15)
VAR4 <= 1'b1;
end
else if(VAR11)begin
VAR5 <= 1'b1;
VAR18 <= VAR17[VAR8];
end
end
end
end
assign VAR1 = VAR11 ? VAR18 : 8'VAR16;
VAR6 VAR7(
.VAR13(VAR13),
.VAR14(VAR14),
.ack(ack),
.VAR10(VAR10),
.clk(VAR9),
.VAR5(VAR5),
.VAR4(VAR4),
.VAR1(VAR1),
.VAR3(VAR3)
);
endmodule
|
mit
|
Digilent/vivado-library
|
ip/hls_contrast_stretch_1_0/hdl/verilog/start_for_Mat2AXIlbW.v
| 3,003 |
module MODULE1 (
clk,
VAR15,
VAR10,
VAR22,
VAR13);
parameter VAR20 = 32'd1;
parameter VAR26 = 32'd3;
parameter VAR7 = 32'd6;
input clk;
input [VAR20-1:0] VAR15;
input VAR10;
input [VAR26-1:0] VAR22;
output [VAR20-1:0] VAR13;
reg[VAR20-1:0] VAR24 [0:VAR7-1];
integer VAR19;
always @ (posedge clk)
begin
if (VAR10)
begin
for (VAR19=0;VAR19<VAR7-1;VAR19=VAR19+1)
VAR24[VAR19+1] <= VAR24[VAR19];
VAR24[0] <= VAR15;
end
end
assign VAR13 = VAR24[VAR22];
endmodule
module MODULE2 (
clk,
reset,
VAR11,
VAR9,
VAR16,
VAR21,
VAR27,
VAR25,
VAR12,
VAR3);
parameter VAR17 = "VAR4";
parameter VAR20 = 32'd1;
parameter VAR26 = 32'd3;
parameter VAR7 = 32'd6;
input clk;
input reset;
output VAR11;
input VAR9;
input VAR16;
output[VAR20 - 1:0] VAR21;
output VAR27;
input VAR25;
input VAR12;
input[VAR20 - 1:0] VAR3;
wire[VAR26 - 1:0] VAR8 ;
wire[VAR20 - 1:0] VAR6, VAR14;
wire VAR2;
reg[VAR26:0] VAR1 = {(VAR26+1){1'b1}};
reg VAR18 = 0, VAR5 = 1;
assign VAR11 = VAR18;
assign VAR27 = VAR5;
assign VAR6 = VAR3;
assign VAR21 = VAR14;
always @ (posedge clk) begin
if (reset == 1'b1)
begin
VAR1 <= ~{VAR26+1{1'b0}};
VAR18 <= 1'b0;
VAR5 <= 1'b1;
end
else begin
if (((VAR16 & VAR9) == 1 & VAR18 == 1) &&
((VAR12 & VAR25) == 0 | VAR5 == 0))
begin
VAR1 <= VAR1 - 1;
if (VAR1 == 0)
VAR18 <= 1'b0;
VAR5 <= 1'b1;
end
else if (((VAR16 & VAR9) == 0 | VAR18 == 0) &&
((VAR12 & VAR25) == 1 & VAR5 == 1))
begin
VAR1 <= VAR1 + 1;
VAR18 <= 1'b1;
if (VAR1 == VAR7 - 2)
VAR5 <= 1'b0;
end
end
end
assign VAR8 = VAR1[VAR26] == 1'b0 ? VAR1[VAR26-1:0]:{VAR26{1'b0}};
assign VAR2 = (VAR12 & VAR25) & VAR5;
MODULE1
.VAR20(VAR20),
.VAR26(VAR26),
.VAR7(VAR7))
VAR23 (
.clk(clk),
.VAR15(VAR6),
.VAR10(VAR2),
.VAR22(VAR8),
.VAR13(VAR14));
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/clkdlyinv3sd1/sky130_fd_sc_ms__clkdlyinv3sd1.pp.symbol.v
| 1,357 |
module MODULE1 (
input VAR4 ,
output VAR3 ,
input VAR6 ,
input VAR1,
input VAR2,
input VAR5
);
endmodule
|
apache-2.0
|
m13253/riscade
|
hdl/src/step_ex_cpf.v
| 1,493 |
module MODULE1(clk, rst, VAR16, VAR7, VAR3,
VAR2, VAR13,
VAR11, VAR17, VAR9, VAR6, VAR15, VAR18, VAR4, VAR10);
input clk;
input rst;
input VAR16;
output VAR7;
input[3:0] VAR3;
output[7:0] VAR2;
output VAR13;
input[7:0] VAR11, VAR17, VAR9, VAR6, VAR15, VAR18, VAR4, VAR10;
reg VAR12;
assign VAR7 = VAR12 ? 1'b0 : 1'VAR5;
reg VAR14;
assign VAR2 = VAR14 ? VAR1[VAR3] : 8'VAR5;
reg VAR8;
assign VAR13 = VAR8 ? 1'b0 : 1'VAR5;
reg state;
tri0[7:0] VAR1[15:0];
assign VAR1[0] = VAR11;
assign VAR1[1] = VAR17;
assign VAR1[2] = VAR9;
assign VAR1[3] = VAR6;
assign VAR1[4] = VAR15;
assign VAR1[5] = VAR18;
assign VAR1[10] = VAR4;
assign VAR1[14] = 8'hff;
assign VAR1[15] = VAR10;
always @(negedge rst or posedge clk)
if(!rst) begin
VAR12 <= 0;
VAR14 <= 0;
VAR8 <= 0;
state <= 0;
end else if(!VAR16) begin
VAR12 <= 0;
VAR14 <= 1;
VAR8 <= 0;
state <= 1;
end else if(state) begin
VAR12 <= 1;
VAR14 <= 1;
VAR8 <= 1;
state <= 0;
end else begin
VAR12 <= 0;
VAR14 <= 0;
VAR8 <= 0;
end
endmodule
|
mit
|
cybero/Verilog
|
src/UART + checker module/rtl/uart_rx.v
| 2,479 |
module MODULE1
parameter VAR18 = 8, VAR16 = 16 )
(
input wire clk,
input wire reset,
input wire VAR2,
input wire VAR4,
output reg VAR15,
output wire [7:0] VAR7
);
localparam [1:0]
VAR13 = 2'b00,
VAR3 = 2'b01,
VAR11 = 2'b10,
VAR9 = 2'b11;
reg [1:0] VAR1, VAR12;
reg [3:0] VAR17, VAR8;
reg [2:0] VAR5, VAR14;
reg [7:0] VAR10, VAR6;
always@(posedge clk, posedge reset)
if (reset)
begin
VAR1 <= VAR13;
VAR17 <= 0;
VAR5 <= 0;
VAR10 <= 0;
end
else
begin
VAR1 <= VAR12;
VAR17 <= VAR8;
VAR5 <= VAR14;
VAR10 <= VAR6;
end
always@*
begin
VAR12 = VAR1 ;
VAR8 = VAR17;
VAR14 = VAR5;
VAR6 = VAR10;
VAR15 = 1'b0;
case (VAR1)
VAR13:
if(~VAR2)
begin
VAR12 = VAR3;
VAR8 = 0;
end
VAR3:
if (VAR4)
if(VAR17==7)
begin
VAR12=VAR11;
VAR8=0;
VAR14=0;
end
else
VAR8 = VAR17+1'b1;
VAR11:
if(VAR4)
if(VAR17==15)
begin
VAR8=0;
VAR6 = {VAR2, VAR10[7:1]};
if(VAR5==(VAR18-1))
VAR12=VAR9;
end
else
VAR14=VAR5+1'b1;
end
else
VAR8=VAR17+1'b1;
VAR9:
if(VAR4)
if(VAR17==(VAR16-1))
begin
VAR12=VAR13;
VAR15=1'b1;
end
else
VAR8 = VAR17+1'b1;
endcase
end
assign VAR7 = VAR10;
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/a221o/sky130_fd_sc_lp__a221o.pp.symbol.v
| 1,401 |
module MODULE1 (
input VAR8 ,
input VAR10 ,
input VAR2 ,
input VAR5 ,
input VAR6 ,
output VAR1 ,
input VAR3 ,
input VAR4,
input VAR7,
input VAR9
);
endmodule
|
apache-2.0
|
ultraembedded/riscv
|
top_tcm_axi/src_v/tcm_mem.v
| 9,237 |
module MODULE1
(
input VAR5
,input VAR34
,input VAR44
,input VAR61
,input VAR24
,input [ 31:0] VAR95
,input [ 31:0] VAR52
,input [ 31:0] VAR64
,input VAR47
,input [ 3:0] VAR15
,input VAR41
,input [ 10:0] VAR88
,input VAR92
,input VAR21
,input VAR65
,input VAR38
,input [ 31:0] VAR46
,input [ 3:0] VAR85
,input [ 7:0] VAR53
,input [ 1:0] VAR57
,input VAR49
,input [ 31:0] VAR60
,input [ 3:0] VAR10
,input VAR42
,input VAR91
,input VAR25
,input [ 31:0] VAR87
,input [ 3:0] VAR40
,input [ 7:0] VAR27
,input [ 1:0] VAR3
,input VAR8
,output VAR11
,output VAR68
,output VAR62
,output [ 31:0] VAR2
,output [ 31:0] VAR81
,output VAR17
,output VAR83
,output VAR22
,output [ 10:0] VAR26
,output VAR86
,output VAR84
,output VAR35
,output [ 1:0] VAR1
,output [ 3:0] VAR20
,output VAR16
,output VAR50
,output [ 31:0] VAR75
,output [ 1:0] VAR30
,output [ 3:0] VAR28
,output VAR12
);
wire VAR7;
wire VAR69;
wire [ 31:0] VAR29;
wire [ 3:0] VAR66;
wire VAR58;
wire [ 7:0] VAR37;
wire [ 31:0] VAR67;
wire [ 31:0] VAR89;
VAR90
VAR9
(
.VAR5(VAR5),
.VAR34(VAR34),
.VAR38(VAR38),
.VAR46(VAR46),
.VAR85(VAR85),
.VAR53(VAR53),
.VAR57(VAR57),
.VAR49(VAR49),
.VAR60(VAR60),
.VAR10(VAR10),
.VAR42(VAR42),
.VAR91(VAR91),
.VAR25(VAR25),
.VAR87(VAR87),
.VAR40(VAR40),
.VAR27(VAR27),
.VAR3(VAR3),
.VAR8(VAR8),
.VAR79(VAR7),
.VAR39(VAR69),
.VAR55(1'b0),
.VAR4(VAR29),
.VAR86(VAR86),
.VAR84(VAR84),
.VAR35(VAR35),
.VAR1(VAR1),
.VAR20(VAR20),
.VAR16(VAR16),
.VAR50(VAR50),
.VAR75(VAR75),
.VAR30(VAR30),
.VAR28(VAR28),
.VAR12(VAR12),
.VAR73(VAR66),
.VAR48(VAR58),
.VAR56(VAR37),
.VAR36(VAR67),
.VAR77(VAR89)
);
wire [13:0] VAR78 = VAR7 ? VAR67[15:2] : VAR52[15:2];
wire [31:0] VAR43 = VAR7 ? VAR89 : VAR64;
wire [3:0] VAR76 = VAR7 ? VAR66 : VAR15;
wire [31:0] VAR82;
VAR33
VAR71
(
.VAR72(VAR5)
,.VAR32(VAR34)
,.VAR51(VAR95[15:2])
,.VAR14(32'b0)
,.VAR23(4'b0)
,.VAR45(VAR5)
,.VAR70(VAR34)
,.VAR63(VAR78)
,.VAR54(VAR43)
,.VAR80(VAR76)
,.VAR93(VAR2)
,.VAR94(VAR82)
);
assign VAR29 = VAR82;
reg VAR6;
always @ (posedge VAR5 or posedge VAR34)
if (VAR34)
VAR6 <= 1'b0;
else
VAR6 <= VAR44;
assign VAR11 = 1'b1;
assign VAR68 = VAR6;
assign VAR62 = 1'b0;
reg VAR59;
reg [10:0] VAR31;
reg VAR13;
reg VAR19;
always @ (posedge VAR5 or posedge VAR34)
if (VAR34)
VAR59 <= 1'b1;
else if (VAR58 || VAR66 != 4'b0)
VAR59 <= 1'b0;
else
VAR59 <= 1'b1;
always @ (posedge VAR5 or posedge VAR34)
if (VAR34)
begin
VAR13 <= 1'b0;
VAR31 <= 11'b0;
end
else if ((VAR47 || VAR15 != 4'b0 || VAR65 || VAR92 || VAR21) && VAR17)
begin
VAR13 <= 1'b1;
VAR31 <= VAR88;
end
else
VAR13 <= 1'b0;
always @ (posedge VAR5 or posedge VAR34)
if (VAR34)
VAR19 <= 1'b0;
else if ((VAR58 || VAR66 != 4'b0) && VAR7)
VAR19 <= 1'b1;
else
VAR19 <= 1'b0;
assign VAR83 = VAR13;
assign VAR26 = VAR31;
assign VAR81 = VAR82;
assign VAR22 = 1'b0;
assign VAR17 = VAR59;
assign VAR7 = !VAR59;
assign VAR69 = VAR19;
function write;
input [31:0] addr;
input [7:0] VAR74;
begin
case (addr[1:0])
2'd0: VAR71.VAR18[addr/4][7:0] = VAR74;
2'd1: VAR71.VAR18[addr/4][15:8] = VAR74;
2'd2: VAR71.VAR18[addr/4][23:16] = VAR74;
2'd3: VAR71.VAR18[addr/4][31:24] = VAR74;
endcase
end
endfunction
function [7:0] read;
input [31:0] addr;
begin
case (addr[1:0])
2'd0: read = VAR71.VAR18[addr/4][7:0];
2'd1: read = VAR71.VAR18[addr/4][15:8];
2'd2: read = VAR71.VAR18[addr/4][23:16];
2'd3: read = VAR71.VAR18[addr/4][31:24];
endcase
end
endfunction
endmodule
|
bsd-3-clause
|
Ricky-Gong/LegoCar
|
DE0-Nano/DE0Course/db/ip/NIOS_Sys/submodules/NIOS_Sys_sw.v
| 4,325 |
module MODULE1 (
address,
VAR13,
clk,
VAR3,
VAR4,
VAR7,
VAR10,
irq,
VAR15
)
;
output irq;
output [ 31: 0] VAR15;
input [ 1: 0] address;
input VAR13;
input clk;
input [ 3: 0] VAR3;
input VAR4;
input VAR7;
input [ 31: 0] VAR10;
wire VAR8;
reg [ 3: 0] VAR1;
reg [ 3: 0] VAR14;
wire [ 3: 0] VAR9;
reg [ 3: 0] VAR11;
wire VAR5;
wire [ 3: 0] VAR12;
wire irq;
reg [ 3: 0] VAR6;
wire [ 3: 0] VAR2;
reg [ 31: 0] VAR15;
assign VAR8 = 1;
assign VAR2 = ({4 {(address == 0)}} & VAR9) |
({4 {(address == 2)}} & VAR6) |
({4 {(address == 3)}} & VAR11);
always @(posedge clk or negedge VAR4)
begin
if (VAR4 == 0)
VAR15 <= 0;
end
else if (VAR8)
VAR15 <= {32'b0 | VAR2};
end
assign VAR9 = VAR3;
always @(posedge clk or negedge VAR4)
begin
if (VAR4 == 0)
VAR6 <= 0;
end
else if (VAR13 && ~VAR7 && (address == 2))
VAR6 <= VAR10[3 : 0];
end
assign irq = |(VAR11 & VAR6);
assign VAR5 = VAR13 && ~VAR7 && (address == 3);
always @(posedge clk or negedge VAR4)
begin
if (VAR4 == 0)
VAR11[0] <= 0;
end
else if (VAR8)
if (VAR5)
VAR11[0] <= 0;
else if (VAR12[0])
VAR11[0] <= -1;
end
always @(posedge clk or negedge VAR4)
begin
if (VAR4 == 0)
VAR11[1] <= 0;
end
else if (VAR8)
if (VAR5)
VAR11[1] <= 0;
else if (VAR12[1])
VAR11[1] <= -1;
end
always @(posedge clk or negedge VAR4)
begin
if (VAR4 == 0)
VAR11[2] <= 0;
end
else if (VAR8)
if (VAR5)
VAR11[2] <= 0;
else if (VAR12[2])
VAR11[2] <= -1;
end
always @(posedge clk or negedge VAR4)
begin
if (VAR4 == 0)
VAR11[3] <= 0;
end
else if (VAR8)
if (VAR5)
VAR11[3] <= 0;
else if (VAR12[3])
VAR11[3] <= -1;
end
always @(posedge clk or negedge VAR4)
begin
if (VAR4 == 0)
begin
VAR1 <= 0;
VAR14 <= 0;
end
else if (VAR8)
begin
VAR1 <= VAR9;
VAR14 <= VAR1;
end
end
assign VAR12 = VAR1 ^ VAR14;
endmodule
|
gpl-2.0
|
CospanDesign/nysa-verilog
|
verilog/wishbone/slave/wb_fpga_nes/rtl/wb_fpga_nes.v
| 18,587 |
module MODULE1 (
input clk,
input rst,
input VAR129,
input VAR155,
input [3:0] VAR163,
input [31:0] VAR89,
input VAR147,
output reg VAR150,
output reg [31:0] VAR112,
input [31:0] VAR6,
output VAR131,
output VAR7,
output VAR37,
output [3:0] VAR132,
output [31:0] VAR110,
output [31:0] VAR74,
input [31:0] VAR13,
input VAR9,
input VAR145,
output reg VAR8
);
localparam VAR69 = 32'h00000000;
localparam VAR59 = 32'h00000001;
localparam VAR100 = 32'h00000002;
localparam VAR57 = 32'h00000003;
localparam VAR73 = 32'h00000004;
localparam VAR79 = 32'h00000005;
localparam VAR135 = 32'h00000006;
localparam VAR64 = 32'h00000007;
localparam VAR164 = 32'h00000008;
localparam VAR61 = 32'h00000009;
localparam VAR99 = 32'h0000000A;
localparam VAR32 = 32'h0000000B;
localparam VAR75 = 32'h0000000C;
localparam VAR104 = 32'h0000000D;
localparam VAR21 = 0;
localparam VAR67 = 1;
localparam VAR27 = 2;
localparam VAR158 = 0;
localparam VAR144 = 1;
localparam VAR12 = 2;
localparam VAR16 = 16;
localparam VAR22 = VAR16 + 15;
wire [31:0] VAR108;
reg [31:0] VAR121;
wire [3:0] VAR47;
wire VAR90;
reg [31:0] VAR124;
reg [31:0] VAR45;
wire [31:0] VAR141;
reg VAR66;
wire VAR76;
wire VAR5;
wire [31:0] VAR33;
reg [31:0] VAR3;
reg [31:0] VAR134;
wire [31:0] VAR11;
reg VAR80;
wire VAR60;
wire VAR91;
wire [31:0] VAR114;
wire VAR118;
wire VAR153;
wire VAR52;
wire VAR125;
wire [31:0] VAR139;
wire [23:0] VAR53;
wire VAR93;
wire VAR106;
wire VAR35;
wire [3:0] VAR25;
reg [7:0] VAR151; reg [7:0] VAR103;
wire VAR130;
wire [9:0] VAR92;
wire [9:0] VAR63;
wire VAR48;
wire VAR55;
wire [2:0] VAR51;
wire [2:0] VAR102;
wire [1:0] VAR44;
wire VAR156;
reg [7:0] VAR36;
reg VAR101;
wire [15:0] VAR62;
reg [15:0] VAR142;
wire VAR28;
reg VAR137;
reg [15:0] VAR86;
reg [31:0] VAR71;
reg VAR81;
wire VAR42;
reg [7:0] VAR152;
wire VAR111;
reg VAR123;
wire [7:0] VAR105;
wire VAR136;
wire VAR133;
VAR119 VAR96 (
.clk (clk ),
.rst (rst ),
.VAR88 (VAR90 ),
.VAR115 (VAR48 ),
.VAR146 (VAR55 ),
.VAR19 (VAR51 ),
.VAR68 (VAR102 ),
.VAR87 (VAR44 ),
.VAR58 (VAR136 ),
.VAR38 (VAR153 ),
.VAR46 (VAR52 ),
.VAR120 (VAR125 ),
.VAR20 (VAR139 ),
.VAR148 (VAR53 )
);
VAR154 VAR98(
.clk (clk ),
.rst (rst || !VAR35 ),
.VAR88 (VAR90 ),
.VAR70 (VAR136 ),
.VAR160 (VAR124 ),
.VAR18 (VAR45 ),
.VAR26 (VAR141 ),
.VAR78 (VAR66 ),
.VAR43 (VAR76 ),
.VAR95 (VAR5 ),
.VAR29 (VAR33 ),
.VAR54 (VAR3 ),
.VAR23 (VAR134 ),
.VAR50 (VAR11 ),
.VAR85 (VAR80 ),
.VAR40 (VAR60 ),
.VAR39 (VAR91 ),
.VAR138 (VAR114 ),
.VAR161 (VAR118 ),
.VAR113 (VAR131 ),
.VAR34 (VAR7 ),
.VAR109 (VAR37 ),
.VAR97 (VAR132 ),
.VAR159 (VAR110 ),
.VAR2 (VAR74 ),
.VAR17 (VAR13 ),
.VAR15 (VAR9 ),
.VAR166 (VAR145 ),
.VAR77 (VAR153 ),
.VAR143 (VAR52 ),
.VAR49 (VAR53 ),
.VAR30 (VAR125 ),
.VAR82 (VAR139 )
);
VAR24 VAR168(
.clk (clk ),
.rst (rst ),
.VAR72 (VAR35 ),
.VAR65 (VAR25 ),
.VAR162 (VAR151 ),
.VAR128 (VAR103 ),
.VAR31 (VAR130 ),
.VAR94 (VAR92 ),
.VAR1 (VAR63 ),
.VAR41 (VAR48 ),
.VAR126 (VAR55 ),
.VAR10 (VAR51 ),
.VAR84 (VAR102 ),
.VAR149 (VAR44 ),
.VAR157 (VAR156 ),
.VAR140 (VAR36 ),
.VAR4 (VAR101 ),
.VAR56 (VAR62 ),
.VAR83 (VAR28 ),
.VAR122 (VAR86 ),
.VAR107 (VAR71 ),
.VAR117 (VAR81 ),
.VAR14 (VAR42 ),
.VAR167 (VAR152 ),
.VAR116 (VAR111 ),
.VAR165 (VAR123 ),
.VAR127 (VAR105 )
);
assign VAR108[VAR158] = 1'b0;
assign VAR108[VAR144] = VAR42;
assign VAR108[VAR12] = VAR137;
assign VAR108[VAR22:VAR16] = VAR142;
assign VAR108[15:3] = 0;
assign VAR47[3:0] = {
(VAR134 == 0),
(VAR45 == 0),
VAR60,
VAR76
};
assign VAR133 = (!VAR5) || (!VAR91);
assign VAR156 = VAR121[VAR21];
assign VAR35 = VAR121[VAR67];
assign VAR90 = VAR121[VAR27];
assign VAR25 = 4'h0;
always @ (posedge clk) begin
if (rst || !VAR90 || VAR8) begin
VAR8 <= 0;
end
else begin
if (VAR76 || VAR60) begin
VAR8 <= 1;
end
else if (!VAR76 && !VAR60) begin
VAR8 <= 0;
end
end
end
always @ (posedge clk) begin
if (rst) begin
VAR112 <= 32'h0;
VAR150 <= 0;
VAR86 <= 0;
VAR71 <= 0;
VAR142 <= 0;
VAR36 <= 0;
VAR101 <= 0;
VAR81 <= 0;
VAR152 <= 0;
VAR121 <= 0;
VAR151 <= 0;
VAR103 <= 0;
VAR124 <= VAR33;
VAR3 <= VAR114;
VAR45 <= 0;
VAR134 <= 0;
VAR66 <= 0;
VAR80 <= 0;
end
else begin
VAR101 <= 0;
VAR81 <= 0;
VAR123 <= 0;
VAR66 <= 0;
VAR80 <= 0;
if (VAR150 && ~VAR147)begin
VAR150 <= 0;
if (VAR6 == VAR59) begin
VAR137 <= 0;
end
end
if (VAR147 && VAR155) begin
if (!VAR150) begin
if (VAR129) begin
case (VAR6)
VAR69: begin
VAR121 <= VAR89;
VAR150 <= 1;
end
VAR100: begin
VAR151 <= VAR89[7:0];
VAR103 <= VAR89[15:8];
VAR150 <= 1;
end
VAR57: begin
VAR71 <= VAR89;
VAR150 <= 1;
end
VAR73: begin
VAR86 <= VAR89;
VAR150 <= 1;
end
VAR79: begin
VAR36 <= VAR89;
VAR101 <= 1;
VAR150 <= 1;
end
VAR135: begin
VAR152 <= VAR89[7:0];
if (VAR42) begin
VAR81 <= 1;
VAR150 <= 1;
end
end
VAR164: begin
VAR124 <= VAR89;
VAR150 <= 1;
end
VAR61: begin
VAR45 <= VAR89;
if (VAR89 > 0) begin
VAR66 <= 1;
end
VAR150 <= 1;
end
VAR99: begin
VAR3 <= VAR89;
VAR150 <= 1;
end
VAR32: begin
VAR134 <= VAR89;
if (VAR89 > 0) begin
VAR80 <= 1;
end
VAR150 <= 1;
end
default: begin
VAR150 <= 1;
end
endcase
end
else begin
case (VAR6)
VAR69: begin
VAR112 <= VAR121;
VAR150 <= 1;
end
VAR59: begin
VAR112 <= VAR108;
VAR150 <= 1;
end
VAR57: begin
VAR112 <= VAR71;
VAR150 <= 1;
end
VAR73: begin
VAR112 <= VAR86;
VAR150 <= 1;
end
VAR79: begin
VAR112 <= VAR36;
VAR150 <= 1;
end
VAR135: begin
VAR123 <= 1;
if (VAR111) begin
VAR112 <= {24'h000000, VAR105};
VAR150 <= 1;
end
end
VAR64: begin
VAR112 <= {28'h0000000, VAR47};
if (VAR76) begin
VAR45 <= 0;
end
if (VAR60) begin
VAR134 <= 0;
end
VAR150 <= 1;
end
VAR164: begin
VAR112 <= VAR124;
VAR150 <= 1;
end
VAR61: begin
VAR112 <= VAR141;
VAR150 <= 1;
end
VAR99: begin
VAR112 <= VAR3;
VAR150 <= 1;
end
VAR32: begin
VAR112 <= VAR11;
VAR150 <= 1;
end
VAR75: begin
VAR112 <= VAR92;
VAR150 <= 1;
end
VAR104: begin
VAR112 <= VAR63;
VAR150 <= 1;
end
default: begin
VAR150 <= 1;
end
endcase
end
end end
if (VAR28) begin
VAR142 <= VAR62;
end
end
end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/buf/sky130_fd_sc_lp__buf.behavioral.pp.v
| 1,746 |
module MODULE1 (
VAR6 ,
VAR5 ,
VAR10,
VAR7,
VAR11 ,
VAR2
);
output VAR6 ;
input VAR5 ;
input VAR10;
input VAR7;
input VAR11 ;
input VAR2 ;
wire VAR3 ;
wire VAR9;
buf VAR4 (VAR3 , VAR5 );
VAR1 VAR12 (VAR9, VAR3, VAR10, VAR7);
buf VAR8 (VAR6 , VAR9 );
endmodule
|
apache-2.0
|
f3zz3h/Embedded-Co-Design
|
ts7300_top_restored/pll.v
| 15,698 |
module MODULE1 (
VAR7,
VAR81,
VAR11,
VAR41);
input VAR7;
output VAR81;
output VAR11;
output VAR41;
wire [5:0] VAR69;
wire VAR74;
wire [0:0] VAR58 = 1'h0;
wire [1:1] VAR12 = VAR69[1:1];
wire [0:0] VAR52 = VAR69[0:0];
wire VAR81 = VAR52;
wire VAR11 = VAR12;
wire VAR41 = VAR74;
wire VAR54 = VAR7;
wire [1:0] VAR64 = {VAR58, VAR54};
VAR47 VAR93 (
.VAR65 (VAR64),
.clk (VAR69),
.VAR41 (VAR74),
.VAR90 (),
.VAR48 (1'b0),
.VAR94 (),
.VAR27 ({6{1'b1}}),
.VAR31 (),
.VAR55 (1'b0),
.VAR84 (),
.VAR88 (),
.VAR85 (),
.VAR80 ({4{1'b1}}),
.VAR91 (1'b1),
.VAR45 (1'b1),
.VAR97 (1'b1),
.VAR40 (1'b0),
.VAR14 (1'b0),
.VAR77 (1'b0),
.VAR61 (),
.VAR103 (),
.VAR28 (1'b0),
.VAR66 (1'b0),
.VAR98 (),
.VAR89 ());
VAR93.VAR46 = 1,
VAR93.VAR62 = 50,
VAR93.VAR78 = 6,
VAR93.VAR92 = "0",
VAR93.VAR83 = 1,
VAR93.VAR20 = 50,
VAR93.VAR2 = 3,
VAR93.VAR3 = "0",
VAR93.VAR51 = 2500,
VAR93.VAR35 = "VAR29",
VAR93.VAR9 = 40000,
VAR93.VAR34 = "VAR37 VAR73",
VAR93.VAR15 = 5,
VAR93.VAR8 = "VAR47",
VAR93.VAR75 = "VAR24",
VAR93.VAR68 = "VAR21",
VAR93.VAR96 = "VAR39",
VAR93.VAR32 = "VAR39",
VAR93.VAR5 = "VAR39",
VAR93.VAR1 = "VAR39",
VAR93.VAR38 = "VAR39",
VAR93.VAR56 = "VAR39",
VAR93.VAR86 = "VAR39",
VAR93.VAR18 = "VAR44",
VAR93.VAR13 = "VAR39",
VAR93.VAR82 = "VAR44",
VAR93.VAR26 = "VAR39",
VAR93.VAR72 = "VAR39",
VAR93.VAR99 = "VAR39",
VAR93.VAR59 = "VAR39",
VAR93.VAR42 = "VAR39",
VAR93.VAR95 = "VAR39",
VAR93.VAR63 = "VAR39",
VAR93.VAR67 = "VAR39",
VAR93.VAR23 = "VAR39",
VAR93.VAR101 = "VAR44",
VAR93.VAR25 = "VAR44",
VAR93.VAR79 = "VAR39",
VAR93.VAR4 = "VAR39",
VAR93.VAR50 = "VAR39",
VAR93.VAR17 = "VAR39",
VAR93.VAR36 = "VAR39",
VAR93.VAR87 = "VAR39",
VAR93.VAR16 = "VAR39",
VAR93.VAR33 = "VAR39",
VAR93.VAR53 = "VAR39",
VAR93.VAR19 = "VAR39",
VAR93.VAR70 = "VAR39",
VAR93.VAR30 = "VAR39",
VAR93.VAR102 = "VAR39",
VAR93.VAR43 = "VAR39",
VAR93.VAR71 = "VAR39",
VAR93.VAR49 = "VAR39",
VAR93.VAR60 = "VAR39",
VAR93.VAR100 = "VAR39",
VAR93.VAR76 = "VAR39",
VAR93.VAR6 = "VAR39",
VAR93.VAR10 = "VAR39",
VAR93.VAR22 = "VAR39",
VAR93.VAR57 = 1;
endmodule
|
gpl-2.0
|
C-L-G/azpr_soc
|
azpr_soc/trunk/ic/digital/azpr_soc/top/rtl/clk_gen.v
| 1,540 |
module MODULE1 (
input wire VAR5, input wire VAR9,
output wire clk, output wire clk,
output wire VAR8 );
wire VAR7; wire VAR11;
assign VAR11 = (VAR9 == VAR13) ? VAR1 : VAR3;
assign VAR8 = ((VAR9 == VAR13) || (VAR7 == VAR3)) ?
VAR12 VAR12 (
.VAR10 (VAR5), .VAR6 (VAR11), .VAR2 (clk), .VAR14 (clk), .VAR4 (VAR7) );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/srsdfstp/sky130_fd_sc_lp__srsdfstp.blackbox.v
| 1,520 |
module MODULE1 (
VAR12 ,
VAR7 ,
VAR6 ,
VAR1 ,
VAR11 ,
VAR4 ,
VAR5
);
output VAR12 ;
input VAR7 ;
input VAR6 ;
input VAR1 ;
input VAR11 ;
input VAR4 ;
input VAR5;
supply1 VAR2;
supply1 VAR3 ;
supply0 VAR8 ;
supply1 VAR10 ;
supply0 VAR9 ;
endmodule
|
apache-2.0
|
kyzhai/NUNY
|
src/hardware/sword_bb.v
| 4,986 |
module MODULE1 (
address,
VAR1,
VAR2);
input [14:0] address;
input VAR1;
output [15:0] VAR2;
tri1 VAR1;
endmodule
|
gpl-2.0
|
johan92/altera_opencl_sandbox
|
vector_add/bin_vector_add/top.v
| 4,991 |
module MODULE2 (
VAR41,
VAR47,
VAR16,
VAR54,
VAR31,
VAR28,
VAR5,
VAR17,
VAR35,
VAR3,
VAR25,
VAR40,
VAR43,
VAR52,
VAR38,
VAR62,
VAR57,
VAR13,
VAR21,
VAR42,
VAR65,
VAR36,
VAR70,
VAR53,
VAR60,
VAR56,
VAR4,
VAR69,
VAR39,
VAR63,
VAR58,
VAR10,
VAR14,
VAR55,
VAR9
);
input wire VAR41;
input wire VAR47;
output wire [3:0] VAR16;
output wire [14:0] VAR54;
output wire [2:0] VAR31;
output wire VAR28;
output wire VAR5;
output wire VAR17;
output wire VAR35;
output wire VAR3;
output wire VAR25;
output wire VAR40;
output wire VAR43;
inout wire [31:0] VAR52;
inout wire [3:0] VAR38;
inout wire [3:0] VAR62;
output wire VAR57;
output wire [3:0] VAR13;
input wire VAR21;
inout wire VAR42;
output wire VAR65;
output wire VAR36;
output wire VAR70;
output wire [3:0] VAR53;
input wire VAR60;
input wire VAR56;
input wire [3:0] VAR4;
inout wire VAR69;
output wire VAR39;
inout wire [3:0] VAR63;
input wire VAR58;
output wire VAR10;
inout wire VAR14;
inout wire VAR9;
inout wire VAR55;
wire [29:0] VAR24;
wire VAR11;
VAR33 VAR50 (
.VAR15 (VAR47),
.VAR1 (VAR41),
.VAR44 (VAR11),
.VAR54 (VAR54),
.VAR31 (VAR31),
.VAR28 (VAR28),
.VAR5 (VAR5),
.VAR17 (VAR17),
.VAR35 (VAR35),
.VAR3 (VAR3),
.VAR25 (VAR25),
.VAR40 (VAR40),
.VAR43 (VAR43),
.VAR52 (VAR52),
.VAR38 (VAR38),
.VAR62 (VAR62),
.VAR57 (VAR57),
.VAR13 (VAR13),
.VAR21 (VAR21),
.VAR68 (VAR42),
.VAR32 (VAR65),
.VAR26 (VAR70),
.VAR18 (VAR36),
.VAR37 (VAR53[0]),
.VAR2 (VAR53[1]),
.VAR66 (VAR53[2]),
.VAR6 (VAR53[3]),
.VAR30 (VAR56),
.VAR51 (VAR60),
.VAR27 (VAR4[0]),
.VAR29 (VAR4[1]),
.VAR23 (VAR4[2]),
.VAR59 (VAR4[3]),
.VAR7 (VAR69),
.VAR67 (VAR39),
.VAR8 (VAR63[0]),
.VAR71 (VAR63[1]),
.VAR64 (VAR63[2]),
.VAR12 (VAR63[3]),
.VAR46 (VAR58),
.VAR49 (VAR10),
.VAR20 (VAR14),
.VAR48 (VAR55),
.VAR22 (VAR9)
);
MODULE1 VAR61 (
.clk (VAR11),
.VAR19 (VAR24)
);
assign VAR16[3:0] = ~VAR24[29:26];
endmodule
module MODULE1(clk, VAR19);
input clk;
output [29:0] VAR19;
reg [14:0] VAR45;
reg [14:0] VAR34;
VAR72 VAR45 = 15'b0;
VAR72 VAR34 = 15'b0;
always @(negedge clk)
VAR45 <= VAR45 + 1'b1;
always @(negedge VAR45[14])
VAR34 <= VAR34 + 1'b1;
assign VAR19 = {VAR34, VAR45};
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/dfxbp/sky130_fd_sc_hd__dfxbp.behavioral.v
| 1,893 |
module MODULE1 (
VAR3 ,
VAR9,
VAR4,
VAR2
);
output VAR3 ;
output VAR9;
input VAR4;
input VAR2 ;
supply1 VAR1;
supply0 VAR14;
supply1 VAR11 ;
supply0 VAR8 ;
wire VAR7 ;
reg VAR5 ;
wire VAR6 ;
wire VAR10;
wire VAR17 ;
VAR12 VAR15 (VAR7 , VAR6, VAR10, VAR5, VAR1, VAR14);
assign VAR17 = ( VAR1 === 1'b1 );
buf VAR16 (VAR3 , VAR7 );
not VAR13 (VAR9 , VAR7 );
endmodule
|
apache-2.0
|
deepakcu/maestro
|
fpga/DE4_Ethernet_0/src/oq_regs_host_iface.v
| 6,300 |
module MODULE1
parameter VAR27 = 13,
parameter VAR3 = 8,
parameter VAR26 = 2,
parameter VAR10 = 8,
parameter VAR21 = VAR28(VAR10),
parameter VAR14 = 17,
parameter VAR34 = VAR28(VAR14)
)
(
input VAR39,
input VAR20,
input VAR11,
input [VAR1-1:0] VAR6,
input [VAR15-1:0] VAR4,
input [VAR26-1:0] VAR16,
output reg VAR41,
output reg VAR9,
output reg VAR7,
output reg [VAR1-1:0] VAR8,
output reg [VAR15-1:0] VAR17,
output reg [VAR26-1:0] VAR2,
output reg VAR29,
output reg VAR31,
output reg [VAR15-1:0] VAR18,
output [VAR34-1:0] addr,
output [VAR21-1:0] VAR24,
input VAR25,
input [VAR15-1:0] VAR19,
input clk,
input reset
);
function integer VAR28;
input integer VAR32;
begin
VAR28=0;
while(2**VAR28<VAR32) begin
VAR28=VAR28+1;
end
end
endfunction
wire [VAR36 - 1:0] VAR38; wire [VAR33 -
wire VAR37;
wire VAR22;
reg VAR35;
reg [VAR1-1:0] VAR12;
reg [VAR26-1:0] VAR5;
assign VAR38 = VAR6[VAR36-1:0];
assign VAR42 = VAR6[VAR33 - 1:VAR36];
assign VAR13 = VAR6[VAR1 - 1:VAR33];
assign VAR37 = (VAR38<VAR14) && (VAR42 < VAR10);
assign VAR22 = VAR13 == VAR30;
assign addr = VAR12[VAR34-1:0];
assign VAR24 = VAR12[VAR36 + VAR21 - 1:VAR36];
always @(posedge clk) begin
if (reset) begin
VAR41 <= 1'b0;
VAR9 <= 1'b0;
VAR7 <= 'h0;
VAR8 <= 'h0;
VAR2 <= 'h0;
VAR35 <= 1'b0;
VAR31 <= 'h0;
VAR12 <= 'h0;
VAR18 <= 'h0;
VAR5 <= 'h0;
VAR29 <= 1'b0;
end
else begin
if (VAR29) begin
if (VAR25) begin
VAR29 <= 1'b0;
VAR41 <= VAR35;
VAR9 <= VAR35;
VAR7 <= VAR31;
VAR8 <= VAR12;
VAR17 <= VAR19;
VAR2 <= VAR5;
end
end
else if (VAR39 && VAR22 && VAR37) begin
VAR29 <= 1'b1;
VAR35 <= VAR39;
VAR31 <= VAR11;
VAR12 <= VAR6;
VAR18 <= VAR4;
VAR5 <= VAR16;
VAR41 <= 1'b0;
VAR9 <= 1'b0;
VAR7 <= 'h0;
VAR8 <= 'h0;
VAR17 <= 'h0;
VAR2 <= 'h0;
end
else begin
VAR41 <= VAR39;
VAR9 <= VAR20 || VAR39 && VAR22;
VAR7 <= VAR11;
VAR8 <= VAR6;
VAR17 <= (VAR39 && VAR22) ? 32'VAR23 VAR40 : VAR4;
VAR2 <= VAR16;
end
end
end
endmodule
|
apache-2.0
|
jefg89/proyecto_final_prototipado
|
ProyectoFinal/db/ip/SOC/submodules/SOC_NIOS_II_mult_cell.v
| 6,268 |
module MODULE1 (
VAR52,
VAR24,
clk,
VAR5,
VAR56
)
;
output [ 31: 0] VAR56;
input [ 31: 0] VAR52;
input [ 31: 0] VAR24;
input clk;
input VAR5;
wire [ 31: 0] VAR56;
wire [ 31: 0] VAR50;
wire [ 15: 0] VAR23;
wire VAR21;
assign VAR21 = ~VAR5;
VAR28 VAR47
(
.VAR34 (VAR21),
.VAR42 (clk),
.VAR20 (VAR52[15 : 0]),
.VAR17 (VAR24[15 : 0]),
.VAR35 (1'b1),
.VAR30 (VAR50)
);
VAR47.VAR8 = "VAR12",
VAR47.VAR3 = "VAR32",
VAR47.VAR44 = "VAR7",
VAR47.VAR6 = "VAR32",
VAR47.VAR22 = "VAR32",
VAR47.VAR2 = "VAR37",
VAR47.VAR53 = "VAR36",
VAR47.VAR41 = "VAR28",
VAR47.VAR11 = "VAR49",
VAR47.VAR25 = "VAR38",
VAR47.VAR46 = "VAR12",
VAR47.VAR39 = 1,
VAR47.VAR45 = "VAR32",
VAR47.VAR40 = "VAR15",
VAR47.VAR1 = "VAR15",
VAR47.VAR27 = "VAR15",
VAR47.VAR55 = "VAR15",
VAR47.VAR9 = "VAR4",
VAR47.VAR26 = "VAR4",
VAR47.VAR16 = "VAR31",
VAR47.VAR14 = "VAR38",
VAR47.VAR29 = "VAR38",
VAR47.VAR19 = "VAR12",
VAR47.VAR10 = "VAR12",
VAR47.VAR43 = "VAR32",
VAR47.VAR33 = "VAR32",
VAR47.VAR13 = 16,
VAR47.VAR48 = 16,
VAR47.VAR51 = 32;
VAR28 VAR54
(
.VAR34 (VAR21),
.VAR42 (clk),
.VAR20 (VAR52[31 : 16]),
.VAR17 (VAR24[15 : 0]),
.VAR35 (1'b1),
.VAR30 (VAR23)
);
VAR54.VAR8 = "VAR12",
VAR54.VAR3 = "VAR32",
VAR54.VAR44 = "VAR7",
VAR54.VAR6 = "VAR32",
VAR54.VAR22 = "VAR32",
VAR54.VAR2 = "VAR37",
VAR54.VAR53 = "VAR36",
VAR54.VAR41 = "VAR28",
VAR54.VAR11 = "VAR49",
VAR54.VAR25 = "VAR38",
VAR54.VAR46 = "VAR12",
VAR54.VAR39 = 1,
VAR54.VAR45 = "VAR32",
VAR54.VAR40 = "VAR15",
VAR54.VAR1 = "VAR15",
VAR54.VAR27 = "VAR15",
VAR54.VAR55 = "VAR15",
VAR54.VAR9 = "VAR4",
VAR54.VAR26 = "VAR4",
VAR54.VAR16 = "VAR31",
VAR54.VAR14 = "VAR38",
VAR54.VAR29 = "VAR38",
VAR54.VAR19 = "VAR12",
VAR54.VAR10 = "VAR12",
VAR54.VAR43 = "VAR32",
VAR54.VAR33 = "VAR32",
VAR54.VAR13 = 16,
VAR54.VAR48 = 16,
VAR54.VAR51 = 16;
assign VAR56 = {VAR50[31 : 16] +
VAR23,
VAR50[15 : 0]};
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/dlxbp/sky130_fd_sc_hd__dlxbp.behavioral.v
| 1,963 |
module MODULE1 (
VAR15 ,
VAR1 ,
VAR17 ,
VAR12
);
output VAR15 ;
output VAR1 ;
input VAR17 ;
input VAR12;
supply1 VAR14;
supply0 VAR10;
supply1 VAR7 ;
supply0 VAR13 ;
wire VAR6 ;
wire VAR4;
wire VAR8 ;
reg VAR16 ;
wire VAR2 ;
VAR5 VAR3 (VAR6 , VAR8, VAR4, VAR16, VAR14, VAR10);
buf VAR11 (VAR15 , VAR6 );
not VAR9 (VAR1 , VAR6 );
assign VAR2 = ( VAR14 === 1'b1 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/buf/sky130_fd_sc_hdll__buf_8.v
| 2,009 |
module MODULE1 (
VAR5 ,
VAR3 ,
VAR6,
VAR4,
VAR7 ,
VAR2
);
output VAR5 ;
input VAR3 ;
input VAR6;
input VAR4;
input VAR7 ;
input VAR2 ;
VAR1 VAR8 (
.VAR5(VAR5),
.VAR3(VAR3),
.VAR6(VAR6),
.VAR4(VAR4),
.VAR7(VAR7),
.VAR2(VAR2)
);
endmodule
module MODULE1 (
VAR5,
VAR3
);
output VAR5;
input VAR3;
supply1 VAR6;
supply0 VAR4;
supply1 VAR7 ;
supply0 VAR2 ;
VAR1 VAR8 (
.VAR5(VAR5),
.VAR3(VAR3)
);
endmodule
|
apache-2.0
|
somethingnew2-0/CS552-CPU
|
RoadRunner/off_by_one.tar.gz_extracted/CPU.v
| 13,920 |
module MODULE1(clk, VAR31, VAR45, VAR141);
input clk;
input VAR31;
output reg VAR45; output [15:0] VAR141;
wire [15:0] VAR67;
wire VAR114, VAR117, VAR22, VAR98, VAR20, VAR43, VAR47;
VAR1 VAR85(
.clk(clk),
.VAR31(VAR31),
.VAR98(VAR98),
.VAR20(VAR20),
.VAR43(VAR43),
.VAR47(VAR47),
.VAR114(VAR114),
.VAR117(VAR117),
.VAR22(VAR22));
assign VAR78 = 1'b1;
wire VAR4;
wire [15:0] VAR101, VAR96;
VAR109 VAR34(
.clk(clk),
.VAR31(VAR31),
.VAR98(VAR98),
.VAR67(VAR67),
.VAR71(VAR101),
.VAR117(VAR117),
.VAR22(VAR22),
.VAR78(VAR78),
.VAR138(VAR141),
.VAR20(VAR20),
.VAR141(VAR96),
.VAR45(VAR4));
reg [15:0] VAR119, VAR51;
reg VAR97;
always @(posedge clk or negedge VAR31) begin
if(!VAR31) begin
VAR97 <= 1'b0;
VAR51 <= 16'h0000;
VAR119 <= 16'hB0FF;
end
else begin
if(!VAR117 && !VAR22) begin
if(VAR114) begin
VAR97 <= 1'b0;
VAR119 <= 16'hB0FF; end else begin
VAR97 <= VAR4;
VAR119 <= VAR101;
end
VAR51 <= VAR141;
end
end
end
wire [15:0] VAR100;
wire [3:0] VAR62;
wire VAR134;
wire [15:0] VAR46, VAR11;
wire [11:0] VAR60;
wire [3:0] VAR132, VAR95, VAR48, VAR61;
wire [2:0] VAR116, VAR36;
wire VAR91, VAR28, VAR50, VAR149, VAR133, VAR104, VAR94, VAR59, VAR19, VAR14, VAR105, VAR140;
VAR146 VAR108(
.clk(clk),
.VAR45(VAR45),
.VAR100(VAR100),
.VAR62(VAR62),
.VAR134(VAR134),
.VAR71(VAR119),
.VAR41(VAR46),
.VAR106(VAR11),
.VAR131(VAR60),
.VAR23(VAR132),
.VAR26(VAR95),
.VAR143(VAR48),
.VAR15(VAR61),
.VAR112(VAR116),
.VAR121(VAR36),
.VAR102(VAR91),
.VAR40(VAR28),
.VAR124(VAR50),
.VAR55(VAR149),
.VAR98(VAR133),
.VAR110(VAR104),
.VAR6(VAR94),
.VAR145(VAR59),
.VAR107(VAR19),
.VAR27(VAR14),
.VAR82(VAR105),
.VAR81(VAR140)
);
reg [15:0] VAR137, VAR9, VAR90;
reg [11:0] VAR44;
reg [3:0] VAR70, VAR74, VAR64;
reg [2:0] VAR111;
reg VAR127, VAR136;
reg [3:0] VAR148;
reg [2:0] VAR63;
reg VAR3, VAR93, VAR5, VAR130, VAR58, VAR123, VAR17, VAR37, VAR53, VAR89, VAR49;
always @(posedge clk or negedge VAR31) begin
if(!VAR31) begin
VAR49 <= 1'b0;
VAR137 <= 16'h0000;
VAR9 <= 16'h0000;
VAR90 <= 16'h0000;
VAR44 <= 12'h000;
VAR70 <= 4'h0;
VAR74 <= 4'h0;
VAR64 <= 4'h0;
VAR111 <= 3'b000;
VAR127 <= 1'b1;
VAR136 <= 1'b1;
VAR148 <= 4'h0;
VAR3 <= 1'b0;
VAR93 <= 1'b0;
VAR5 <= 1'b0;
VAR58 <= 1'b0;
VAR123 <= 1'b0;
VAR17 <= 1'b0;
VAR37 <= 1'b0;
VAR53 <= 1'b0;
VAR89 <= 1'b0;
end
else begin
if(!VAR117 && !VAR22) begin
VAR137 <= VAR46;
VAR9 <= VAR11;
VAR90 <= VAR51;
VAR44 <= VAR60;
VAR70 <= VAR132;
VAR74 <= VAR95;
VAR64 <= VAR61;
VAR111 <= VAR116;
VAR127 <= VAR59;
VAR136 <= VAR19;
VAR63 <= VAR36;
VAR130 <= VAR149;
if(VAR114) begin
VAR49 <= 1'b0;
VAR148 <= 4'h0;
VAR3 <= 1'b0;
VAR93 <= 1'b0;
VAR5 <= 1'b0;
VAR58 <= 1'b0;
VAR123 <= 1'b0;
VAR17 <= 1'b0;
VAR37 <= 1'b0;
VAR53 <= 1'b0;
VAR89 <= 1'b0;
end
else begin
VAR49 <= VAR97;
VAR148 <= VAR48;
VAR3 <= VAR91;
VAR93 <= VAR28;
VAR5 <= VAR50;
VAR58 <= VAR133;
VAR123 <= VAR104;
VAR17 <= VAR94;
VAR37 <= VAR14;
VAR53 <= VAR105;
VAR89 <= VAR140;
end
end
end
end
wire [15:0] VAR122, VAR84;
reg [15:0] VAR12, VAR52, VAR24, VAR42, VAR18, VAR113;
reg [3:0] VAR77, VAR13, VAR151;
reg VAR135, VAR86, VAR35, VAR29, VAR118, VAR56, VAR120;
VAR32 VAR83(
.VAR41(VAR137),
.VAR106(VAR9),
.VAR23(VAR70),
.VAR26(VAR74),
.VAR77(VAR77),
.VAR120(VAR120),
.VAR12(VAR12),
.VAR135(VAR135),
.VAR52(VAR52),
.VAR86(VAR86),
.VAR13(VAR13),
.VAR35(VAR35),
.VAR24(VAR24),
.VAR29(VAR29),
.VAR42(VAR42),
.VAR118(VAR118),
.VAR18(VAR18),
.VAR113(VAR113),
.VAR151(VAR151),
.VAR56(VAR56),
.VAR66(VAR122),
.VAR75(VAR84),
.VAR43(VAR43));
wire [15:0] VAR68;
wire VAR73, VAR87, VAR21, VAR92, VAR76;
reg VAR88, VAR153, VAR128;
VAR72 VAR125(
.VAR41(VAR122),
.VAR106(VAR84),
.VAR138(VAR90),
.VAR131(VAR44),
.VAR15(VAR64),
.VAR112(VAR111),
.VAR121(VAR63),
.VAR145(VAR127),
.VAR107(VAR136),
.VAR102(VAR3),
.VAR124(VAR5),
.VAR55(VAR130),
.VAR152(VAR58),
.VAR110(VAR123),
.VAR6(VAR17),
.VAR153(VAR153),
.VAR128(VAR128),
.VAR88(VAR88),
.VAR73(VAR73),
.VAR87(VAR87),
.VAR21(VAR21),
.VAR150(VAR68),
.VAR10(VAR92),
.VAR103(VAR76),
.VAR67(VAR67),
.VAR98(VAR98));
reg [15:0] VAR7, VAR39;
reg [3:0] VAR8;
reg VAR38, VAR25;
always @(posedge clk or negedge VAR31) begin
if(!VAR31) begin
VAR25 <= 1'b0;
VAR12 <= 16'h0000;
VAR7 <= 16'h0000;
VAR8 <= 4'h0;
VAR39 <= 16'h0000;
VAR86 <= 1'b0;
VAR38 <= 1'b0;
VAR135 <= 1'b0;
VAR88 <= 1'b0;
VAR153 <= 1'b0;
VAR128 <= 1'b0;
VAR52 <= 16'h0000;
VAR77 <= 4'h0;
VAR120 <= 1'b0;
end
else begin
if(!VAR117 && !VAR22) begin
VAR12 <= VAR68;
VAR39 <= VAR68;
VAR7 <= VAR84;
VAR8 <= VAR74;
VAR86 <= VAR93;
VAR38 <= VAR76;
VAR135 <= VAR123;
if(VAR37) begin
VAR88 <= VAR73;
end
else begin
VAR88 <= VAR88;
end
if (VAR53) begin
VAR153 <= VAR87;
end
else begin
VAR153 <= VAR153;
end
if (VAR89) begin
VAR128 <= VAR21;
end
else begin
VAR128 <= VAR128;
end
VAR52 <= VAR90;
VAR77 <= VAR148;
VAR120 <= VAR92;
if(VAR114) begin
VAR25 <= 1'b0;
end else begin
VAR25 <= VAR49;
end
end
end
end
wire [15:0] VAR54;
VAR142 VAR139(
.VAR80(VAR7),
.VAR26(VAR8),
.VAR13(VAR13),
.VAR35(VAR35),
.VAR24(VAR24),
.VAR29(VAR29),
.VAR42(VAR42),
.VAR118(VAR118),
.VAR18(VAR18),
.VAR147(VAR54)
);
wire [15:0] VAR33;
VAR115 VAR79(
.clk(clk),
.VAR31(VAR31),
.VAR129(VAR78),
.VAR65(VAR86),
.VAR126(VAR38),
.VAR144(VAR96),
.VAR99(VAR39),
.VAR57(VAR54),
.VAR117(VAR47),
.VAR71(VAR101),
.VAR30(VAR33));
always @(posedge clk or negedge VAR31) begin
if(!VAR31) begin
VAR45 <= 1'b0;
VAR42 <= 16'h0000;
VAR18 <= 16'h0000;
VAR24 <= 16'h0000;
VAR13 <= 4'h0;
VAR29 <= 1'b0;
VAR118 <= 1'b0;
VAR35 <= 1'b0;
end
else begin
if(!VAR22) begin
VAR42 <= VAR52;
VAR18 <= VAR33;
VAR24 <= VAR12;
VAR13 <= VAR77;
VAR29 <= VAR135;
VAR118 <= VAR86;
VAR35 <= VAR120;
if(VAR114) begin
VAR45 <= 1'b0;
end else begin
VAR45 <= VAR25;
end
end
end
end
VAR2 VAR69(
.VAR110(VAR29),
.VAR40(VAR118),
.VAR102(VAR35),
.VAR143(VAR13),
.VAR138(VAR42),
.VAR16(VAR18),
.VAR150(VAR24),
.VAR100(VAR100),
.VAR62(VAR62),
.VAR134(VAR134));
always @(posedge clk or negedge VAR31) begin
if(!VAR31) begin
VAR56 <= 1'b0;
end
else begin
VAR113 <= VAR100;
VAR151 <= VAR62;
VAR56 <= VAR134;
end
end
endmodule
|
mit
|
SymbiFlow/yosys
|
techlibs/intel/max10/cells_map.v
| 2,316 |
module \VAR24 (input VAR19, output VAR15);
VAR9 VAR1 (.VAR10(VAR15), .VAR7(VAR19), .VAR21(1'b0));
endmodule
module \VAR5 (input VAR19, output VAR15);
VAR18 VAR1 (.VAR10(VAR15), .VAR7(VAR19), .VAR23(1'b1));
endmodule
module MODULE3 (VAR6, VAR11);
parameter VAR16 = 0;
parameter VAR12 = 0;
input [VAR16-1:0] VAR6;
output VAR11;
generate
if (VAR16 == 1) begin
assign VAR11 = ~VAR6[0]; end else
if (VAR16 == 2) begin
VAR20 #(.VAR4({4{VAR12}}), .VAR22("VAR3")) VAR1 (.VAR14(VAR11), .VAR2(VAR6[0]), .VAR13(VAR6[1]), .VAR3(1'b1),.VAR8(1'b1));
end else
if(VAR16 == 3) begin
VAR20 #(.VAR4({2{VAR12}}), .VAR22("VAR3")) VAR1 (.VAR14(VAR11), .VAR2(VAR6[0]), .VAR13(VAR6[1]), .VAR3(VAR6[2]),.VAR8(1'b1));
end else
if(VAR16 == 4) begin
VAR20 #(.VAR4(VAR12), .VAR22("VAR3")) VAR1 (.VAR14(VAR11), .VAR2(VAR6[0]), .VAR13(VAR6[1]), .VAR3(VAR6[2]),.VAR8(VAR6[3]));
end else
wire VAR17 = 1;
endgenerate
endmodule
|
isc
|
eecsninja/duinocube-core
|
common/basics.v
| 3,353 |
module MODULE5(clk, en, reset, VAR15, VAR12);
parameter VAR11=1;
input clk;
input en;
input reset;
input [VAR11-1:0] VAR15;
output [VAR11-1:0] VAR12;
reg [VAR11-1:0] VAR12;
always @ (posedge clk or posedge reset)
if (reset)
VAR12 <= 0;
else if (en)
VAR12 <= VAR15;
endmodule
module MODULE4(clk, reset, VAR15, VAR12);
parameter VAR11=1;
parameter VAR8=1;
input clk;
input reset;
input [VAR11-1:0] VAR15;
output [VAR11-1:0] VAR12;
wire [(VAR11*VAR8)-1:0] VAR5;
wire [(VAR11*VAR8)-1:0] VAR18;
genvar VAR6;
generate
for (VAR6 = 0; VAR6 < VAR8; VAR6 = VAR6 + 1)
begin: VAR13
MODULE5 #(VAR11) VAR2(.clk(clk),
.en(1'b1),
.reset(reset),
.VAR15(VAR5[(VAR6+1)*VAR11-1:VAR6*VAR11]),
.VAR12(VAR18[(VAR6+1)*VAR11-1:VAR6*VAR11]));
if (VAR6 < VAR8 - 1) begin
assign VAR5[(VAR6+2)*VAR11-1:(VAR6+1)*VAR11] =
VAR18[(VAR6+1)*VAR11-1:VAR6*VAR11];
end
end
endgenerate
assign VAR12 = VAR18[(VAR8*VAR11)-1:(VAR8-1)*VAR11];
assign VAR5[VAR11-1:0] = VAR15;
endmodule
module MODULE3(en, VAR15, VAR12);
parameter VAR11=1;
input en;
input [VAR11-1:0] VAR15;
output [VAR11-1:0] VAR12;
wire [VAR11-1:0] VAR3;
MODULE5 #(VAR11) VAR22(.clk(~en), .en(1'b1), .reset(0), .VAR15(VAR15), .VAR12(VAR3));
assign VAR12 = en ? VAR15 : VAR3;
endmodule
module MODULE2(VAR17, VAR20, in, out);
parameter VAR11=1;
input VAR17;
inout [VAR11-1:0] VAR20;
output [VAR11-1:0] in;
input [VAR11-1:0] out;
assign in = VAR17 ? VAR20 : {VAR11{1'VAR21}};
assign VAR20 = VAR17 ? {VAR11{1'VAR21}} : out;
endmodule
module MODULE1(sel, clk, en, VAR7, VAR9, out);
parameter VAR11=8;
input sel;
input clk;
input en;
input [VAR11-1:0] VAR7;
input [VAR11-1:0] VAR9;
output [VAR11-1:0] out;
wire [VAR11-1:0] VAR1;
wire [VAR11-1:0] VAR10;
MODULE5 #(VAR11) VAR14(clk, en, VAR7, VAR1);
MODULE5 #(VAR11) VAR23(clk, en, VAR9, VAR10);
assign out = sel ? VAR1 : VAR10;
endmodule
module MODULE6(in, out);
parameter VAR19=8;
parameter VAR16=(1 << VAR19);
input [VAR19-1:0] in;
output [VAR16-1:0] out;
genvar VAR6;
generate
for (VAR6 = 0; VAR6 < VAR16; VAR6 = VAR6 + 1)
begin: VAR4
assign out[VAR6] = (VAR6 == in) ? 1'b1 : 1'b0;
end
endgenerate
endmodule
|
gpl-3.0
|
asicguy/gplgpu
|
hdl/hbi/hbi_top.v
| 32,323 |
module MODULE1
(
input [1:0] VAR265,
input VAR279,
input VAR245, input VAR227, input VAR4,
input [31:0]VAR150,
input [3:0] VAR152,
input VAR29,
input VAR244,
input VAR224,
input [2:0] VAR18,
input VAR6,
input VAR131,
input VAR215,
input VAR168,
input VAR179,
input [31:0]VAR258,
input [31:0]VAR124, input VAR264,
input VAR280,
input VAR88,
input VAR49,
input VAR10,
input VAR275,
input [31:0]VAR97,
input [1:0] VAR202,
input VAR196,
input VAR167,
input VAR153,
input VAR56,
input VAR157,
input VAR127,
input VAR178,
input [7:0] VAR289,
input [31:0]VAR90,
input VAR106, input VAR75, input [1:0] VAR94, input VAR266, input [127:0] VAR28, input VAR60, input [7:0] VAR63,
input [31:0]VAR146, input VAR144, input [7:0] VAR23, input [3:0] VAR112, input VAR69,
input VAR221, input VAR209,
output VAR171,
output VAR286,
output VAR44,
output VAR87,
output VAR195,
output VAR170,
output [13:2] VAR133,
output [8:2] VAR51,
output [31:0] VAR169,
output [3:0] VAR193,
output VAR68,
output VAR267,
output VAR110,
output VAR116,
output VAR143,
output VAR125,
output VAR22,
output VAR161,
output VAR268, output VAR32,
output [31:0] VAR219,
output VAR9,
output VAR85,
output VAR218,
output VAR123,
output VAR37,
output VAR285,
output VAR5,
output [1:0] VAR281,
output [3:0] VAR198,
output [1:0] VAR96,
output VAR243,
output VAR291,
output VAR184,
output VAR3,
output VAR42,
output VAR246, output [22:0] VAR272,
output [31:0] VAR290,
output [3:0] VAR104,
output VAR235,
output VAR284,
output VAR113,
output VAR269,
output VAR271,
output VAR103,
output VAR117,
output [22:0] VAR158, output [127:0]VAR154, output [15:0] VAR247, output read, output VAR159, output VAR139, output [4:0] VAR111,
output [31:2] VAR47,
output [21:0] VAR185,
output VAR212,
output [15:0] VAR52, output [7:0] VAR260, output [1:0] VAR35, output [1:0] VAR132, output VAR129, output VAR31, output VAR16, output VAR278, output VAR214, output VAR287, output VAR13, output VAR15, output VAR277, output VAR70, output VAR36, output [1:0] VAR259, output [31:0] VAR233,
output [7:0] VAR105,
output VAR263,
output VAR147,
output VAR256,
output VAR241,
output VAR216
);
wire [31:0] VAR172, VAR213;
wire [31:2] VAR108;
wire [25:2] VAR84;
wire [20:0] VAR236;
wire [3:0] VAR138, VAR137, VAR78;
wire [3:0] VAR77;
wire [3:0] VAR189, VAR99;
wire [7:0] VAR186;
wire [2:0] VAR283;
wire [2:0] VAR165;
wire [3:0] VAR164;
wire [24:0] VAR71, VAR163;
wire [31:8] VAR251, VAR134, VAR26;
wire [31:9] VAR55;
wire [31:16] VAR228;
wire [23:0] VAR65;
wire [31:0] VAR41;
wire [31:12] VAR254;
wire [31:12] VAR80, VAR62;
wire [25:12] VAR173, VAR17;
wire [31:0] VAR257;
wire [3:0] VAR8;
wire [15:0] VAR253;
wire [31:0] VAR136;
wire VAR39;
wire VAR25;
wire VAR270;
wire VAR183, VAR43;
wire VAR114;
wire VAR199;
wire [3:0] VAR120;
wire [18:0] VAR211;
wire VAR121, VAR21;
wire VAR102;
wire VAR187;
wire VAR273;
wire VAR140;
wire VAR148;
wire VAR38;
wire VAR40;
wire VAR232;
wire VAR204;
wire VAR201;
wire VAR2;
wire VAR210;
wire VAR109;
wire VAR122;
wire VAR11;
wire VAR92;
wire VAR141;
wire VAR205;
wire VAR58;
wire VAR83;
wire VAR176;
wire VAR34;
wire VAR45;
wire VAR240;
wire VAR54;
wire VAR160;
wire VAR155;
wire VAR91;
wire VAR130;
wire VAR274;
wire VAR231;
wire VAR217;
wire VAR238;
wire VAR53;
wire VAR20;
wire VAR175;
wire VAR50;
wire VAR126;
wire VAR82;
wire VAR101;
wire VAR74;
wire VAR242;
wire VAR180;
wire VAR292;
wire VAR237;
wire VAR250;
wire VAR72;
wire VAR188;
wire VAR239;
wire VAR7;
wire VAR57;
wire VAR27;
wire VAR174;
wire VAR95;
wire VAR162;
assign VAR68 = VAR138[0];
VAR208 VAR222
(
.VAR279 (VAR279),
.VAR203 (VAR4),
.VAR227 (VAR227),
.VAR204 (VAR204),
.VAR201 (VAR201),
.VAR244 (VAR244),
.VAR224 (VAR224),
.VAR138 (VAR138),
.VAR172 (VAR172[1:0]),
.VAR2 (VAR2),
.VAR102 (VAR102),
.VAR273 (VAR273),
.VAR210 (VAR210),
.VAR109 (VAR109),
.VAR122 (VAR122),
.VAR11 (VAR11),
.VAR140 (VAR140),
.VAR25 (VAR25),
.VAR285 (VAR285),
.VAR92 (VAR92),
.VAR218 (VAR218),
.VAR141 (VAR141),
.VAR270 (VAR270),
.VAR183 (VAR183),
.VAR43 (VAR43),
.VAR205 (VAR205),
.VAR182 (VAR58),
.VAR79 (VAR83),
.VAR280 (VAR280),
.VAR88 (VAR88),
.VAR249 (VAR153),
.VAR167 (VAR167),
.VAR150 (VAR150[31:2]),
.VAR176 (VAR176),
.VAR39 (VAR39),
.VAR144 (VAR144),
.VAR34 (VAR34),
.VAR45 (VAR45),
.VAR73 (VAR240),
.VAR166 (VAR54),
.VAR38 (VAR38),
.VAR160 (VAR160),
.VAR171 (VAR171),
.VAR286 (VAR286),
.VAR116 (VAR116),
.VAR143 (VAR143),
.VAR125 (VAR125),
.VAR33 (VAR161),
.VAR268 (VAR268),
.VAR59 (VAR32),
.VAR267 (VAR267),
.VAR110 (VAR110),
.VAR155 (VAR155),
.VAR91 (VAR91),
.VAR87 (VAR87),
.VAR119 (VAR130),
.VAR123 (VAR123),
.VAR37 (VAR37),
.VAR5 (VAR5),
.VAR274 (VAR274),
.VAR261 (VAR231),
.VAR217 (VAR217),
.VAR44 (VAR44),
.VAR191 (VAR108),
.VAR133 (VAR133),
.VAR51 (VAR51),
.VAR84 (VAR84),
.VAR238 (VAR238),
.VAR53 (VAR53)
);
VAR86 VAR282
(
.VAR279 (VAR279),
.VAR160 (VAR160),
.VAR150 (VAR150),
.VAR152 (VAR152),
.VAR155 (VAR155),
.VAR91 (VAR91),
.VAR229 (VAR29),
.VAR217 (VAR217),
.VAR274 (VAR274),
.VAR20 (VAR20),
.VAR102 (VAR102),
.VAR71 (VAR71[11:9]),
.VAR163 (VAR163[11:9]),
.VAR18 (VAR18),
.VAR183 (VAR183),
.VAR43 (VAR43),
.VAR218 (VAR218),
.VAR44 (VAR44),
.VAR233 (VAR233),
.VAR172 (VAR172),
.VAR138 (VAR138),
.VAR175 (VAR175),
.VAR272 (VAR272),
.VAR290 (VAR290),
.VAR104 (VAR104),
.VAR235 (VAR235),
.VAR284 (VAR284),
.VAR50 (VAR50),
.VAR126 (VAR126),
.VAR186 (VAR186),
.VAR169 (VAR169),
.VAR193 (VAR193),
.VAR8 (VAR8),
.VAR283 (VAR283),
.VAR225 (VAR253)
);
VAR192 VAR14
(
.VAR265 (VAR265),
.VAR279 (VAR279),
.VAR108 (VAR108[8:2]),
.VAR169 (VAR169),
.VAR181 (VAR193),
.VAR130 (VAR130),
.VAR90 (VAR90),
.VAR160 (VAR160),
.VAR82 (VAR82),
.VAR40 (VAR40),
.VAR101 (VAR101),
.VAR285 (VAR285),
.VAR187 (VAR187),
.VAR74 (VAR74),
.VAR10 (VAR10),
.VAR275 (VAR275),
.VAR131 (VAR131),
.VAR215 (VAR215),
.VAR168 (VAR168),
.VAR179 (VAR179),
.VAR6 (VAR6),
.VAR264 (VAR264),
.VAR49 (VAR49),
.VAR153 (VAR153),
.VAR240 (VAR240),
.VAR54 (VAR54),
.VAR56 (VAR56),
.VAR157 (VAR157),
.VAR127 (VAR127),
.VAR178 (VAR178),
.VAR114 (VAR114),
.VAR199 (VAR199),
.VAR63 (VAR63),
.VAR76 (VAR69),
.VAR171 (VAR171),
.VAR21 (VAR21),
.VAR209 (VAR209),
.VAR204 (VAR204),
.VAR201 (VAR201),
.VAR55 (VAR55),
.VAR228 (VAR228),
.VAR26 (VAR26),
.VAR134 (VAR134),
.VAR251 (VAR251),
.VAR242 (VAR242),
.VAR36 (VAR36),
.VAR180 (VAR180),
.VAR292 (VAR292),
.VAR237 (VAR237),
.VAR250 (VAR250),
.VAR72 (VAR72),
.VAR188 (VAR188),
.VAR71 (VAR71),
.VAR80 (VAR80),
.VAR189 (VAR189),
.VAR173 (VAR173),
.VAR163 (VAR163),
.VAR62 (VAR62),
.VAR99 (VAR99),
.VAR17 (VAR17),
.VAR254 (VAR254),
.VAR41 (VAR41),
.VAR85 (VAR85),
.VAR9 (VAR9),
.VAR239 (VAR239),
.VAR7 (VAR7),
.VAR57 (VAR57),
.VAR65 (VAR65),
.VAR27 (VAR27),
.VAR113 (VAR113),
.VAR269 (VAR269),
.VAR195 (VAR195),
.VAR271 (VAR271),
.VAR103 (VAR103),
.VAR170 (VAR170),
.VAR281 (VAR281),
.VAR198 (VAR198),
.VAR165 (VAR165),
.VAR164 (VAR164),
.VAR243 (VAR243),
.VAR96 (VAR96),
.VAR291 (VAR291),
.VAR184 (VAR184),
.VAR42 (VAR42),
.VAR3 (VAR3),
.VAR15 (VAR15),
.VAR205 (VAR205),
.VAR174 (VAR174),
.VAR246 (VAR246),
.VAR95 (VAR95),
.VAR162 (VAR162),
.VAR139 (VAR139),
.VAR212 (VAR212),
.VAR111 (VAR111),
.VAR47 (VAR47),
.VAR185 (VAR185),
.VAR259 (VAR259),
.VAR105 (VAR105),
.VAR120 (VAR120),
.VAR211 (VAR211),
.VAR121 (VAR121)
);
VAR197 VAR288
(
.VAR279 (VAR279),
.VAR66 (VAR172),
.VAR108 (VAR108[31:3]),
.VAR206 (VAR138),
.VAR160 (VAR160),
.VAR65 (VAR65),
.VAR228 (VAR228),
.VAR26 (VAR26),
.VAR251 (VAR251),
.VAR55 (VAR55),
.VAR134 (VAR134),
.VAR180 (VAR180),
.VAR237 (VAR237),
.VAR242 (VAR242),
.VAR292 (VAR292),
.VAR36 (VAR36),
.VAR250 (VAR250),
.VAR72 (VAR72),
.VAR188 (VAR188),
.VAR80 (VAR80),
.VAR189 (VAR189),
.VAR62 (VAR62),
.VAR99 (VAR99),
.VAR57 (VAR57),
.VAR239 (VAR239),
.VAR7 (VAR7),
.VAR27 (VAR27),
.VAR220 (VAR175),
.VAR95 (VAR95),
.VAR196 (VAR196),
.VAR202 (VAR202),
.VAR174 (VAR174),
.VAR162 (VAR162),
.VAR254 (VAR254),
.VAR84 (VAR84[8:2]),
.VAR183 (VAR183),
.VAR43 (VAR43),
.VAR232 (VAR232),
.VAR101 (VAR101),
.VAR34 (VAR34),
.VAR117 (VAR117),
.VAR40 (VAR40),
.VAR285 (VAR285),
.VAR92 (VAR92),
.VAR141 (VAR141),
.VAR38 (VAR38),
.VAR218 (VAR218),
.VAR148 (VAR148),
.VAR273 (VAR273),
.VAR140 (VAR140),
.VAR82 (VAR82),
.VAR45 (VAR45),
.VAR25 (VAR25),
.VAR187 (VAR187),
.VAR102 (VAR102),
.VAR109 (VAR109),
.VAR74 (VAR74),
.VAR210 (VAR210),
.VAR122 (VAR122),
.VAR2 (VAR2),
.VAR11 (VAR11),
.VAR20 (VAR20),
.VAR270 (VAR270),
.VAR114 (VAR114),
.VAR199 (VAR199)
);
VAR190 VAR149
(
.VAR279 (VAR279),
.VAR41 (VAR41),
.VAR257 (VAR257),
.VAR115 (VAR138[0]),
.VAR108 (VAR108[2]),
.VAR152 (VAR152),
.VAR160 (VAR160),
.VAR224 (VAR224),
.VAR267 (VAR267),
.VAR102 (VAR102),
.VAR20 (VAR20),
.VAR122 (VAR122),
.VAR117 (VAR117),
.VAR148 (VAR148),
.VAR218 (VAR218),
.VAR97 (VAR97),
.VAR61 (VAR232),
.VAR258 (VAR258),
.VAR124 (VAR124),
.VAR136 (VAR136),
.VAR283 (VAR283),
.VAR238 (VAR238),
.VAR146 (VAR146),
.VAR144 (VAR144),
.VAR112 (VAR112),
.VAR219 (VAR219),
.VAR151 (VAR22)
);
VAR48 VAR12
(
.VAR279 (VAR279),
.VAR245 (VAR245),
.VAR171 (VAR171),
.VAR244 (VAR244),
.VAR87 (VAR87),
.VAR224 (VAR224),
.VAR84 (VAR84),
.VAR169 (VAR169),
.VAR8 (VAR8),
.VAR68 (VAR68),
.VAR183 (VAR183),
.VAR43 (VAR43),
.VAR64 (VAR71),
.VAR234 (VAR163),
.VAR107 (VAR189),
.VAR118 (VAR99),
.VAR19 (VAR173),
.VAR226 (VAR17),
.VAR106 (VAR106),
.VAR75 (VAR75),
.VAR94 (VAR94),
.VAR266 (VAR266),
.VAR28 (VAR28),
.VAR60 (VAR60),
.VAR53 (VAR53),
.VAR270 (VAR270),
.VAR38 (VAR38),
.VAR257 (VAR257),
.VAR240 (VAR240),
.VAR54 (VAR54),
.VAR176 (VAR176),
.VAR39 (VAR39),
.VAR70 (VAR70),
.VAR277 (VAR277),
.VAR158 (VAR158),
.VAR154 (VAR154),
.VAR247 (VAR247),
.read (read),
.VAR159 (VAR159),
.VAR263 (VAR263)
);
VAR89 VAR230
(
.reset (VAR171),
.VAR81 (VAR279),
.VAR46 (VAR231),
.VAR276 (VAR126),
.VAR93 (VAR20),
.VAR223 (VAR102),
.VAR253 (VAR253),
.VAR252 (VAR186),
.VAR1 (VAR165),
.VAR194 (VAR164),
.VAR221 (VAR221),
.VAR23 (VAR23),
.VAR30 (VAR15),
.VAR128 (VAR289),
.VAR248 (VAR50),
.VAR177 (1'b1),
.VAR287 (VAR287),
.VAR13 (VAR13),
.VAR67 (VAR58),
.VAR255 (VAR83),
.VAR207 (VAR136),
.VAR142 (VAR52),
.VAR262 (VAR260),
.VAR135 (VAR35), .VAR200 (VAR132), .VAR98 (VAR129), .VAR24 (VAR31),
.VAR100 (VAR16),
.VAR156 (VAR278), .VAR145 (VAR214), .VAR211 (VAR211),
.VAR120 (VAR120),
.VAR121 (VAR121),
.VAR21 (VAR21),
.VAR147 (VAR147),
.VAR256 (VAR256),
.VAR241 (VAR241),
.VAR216 (VAR216)
);
endmodule
|
gpl-3.0
|
shailcoolboy/Warp-Trinity
|
PlatformSupport/Deprecated/pcores/radio_controller_v1_06_a/hdl/verilog/user_logic.v
| 34,325 |
/* VAR31 VAR32:
module MODULE1
(
VAR25,
VAR96,
VAR98,
VAR56,
VAR61,
VAR54,
VAR30,
VAR126,
VAR143,
VAR203,
VAR104,
VAR73,
VAR40,
VAR214,
VAR165,
VAR7,
VAR27,
VAR196,
VAR179,
VAR132,
VAR188,
VAR171,
VAR174,
VAR205,
VAR131,
VAR154,
VAR21,
VAR59,
VAR42,
VAR184,
VAR182,
VAR4,
VAR89,
VAR2,
VAR122,
VAR75,
VAR116,
VAR107,
VAR220,
VAR8,
VAR76,
VAR11,
VAR86,
VAR18,
VAR157,
VAR37,
VAR167,
VAR53,
VAR189,
VAR108,
VAR141,
VAR120,
VAR101,
VAR15,
VAR213,
VAR218,
VAR23,
VAR225,
VAR208,
VAR210,
VAR222,
VAR80,
VAR191,
VAR229,
VAR133,
VAR219,
VAR81,
VAR160,
VAR155,
VAR102,
VAR34,
VAR153,
VAR178,
VAR206,
VAR199,
VAR152,
VAR135,
VAR190,
VAR128,
VAR43,
VAR123,
VAR145,
VAR161,
VAR16,
VAR142,
VAR55,
VAR195,
VAR99,
VAR85,
VAR217,
VAR39,
VAR166,
VAR114,
VAR106,
VAR36,
VAR204,
VAR72,
VAR20,
VAR28,
VAR134,
VAR71,
VAR207,
VAR105,
VAR162,
VAR140,
VAR51,
VAR66,
VAR68,
VAR129,
VAR202,
VAR100,
VAR221,
VAR200,
VAR175,
VAR181, VAR137, VAR14, VAR58, VAR3, VAR94, VAR35, VAR198, VAR103, VAR211, VAR49 );
parameter VAR215 = 32;
parameter VAR187 = 16;
output VAR25;
output VAR96;
output VAR98;
output VAR56;
output VAR61;
output VAR54;
output VAR30;
output VAR126;
output VAR143;
output VAR203;
output VAR104;
output VAR73;
output VAR40;
output VAR214;
input VAR165;
output VAR7;
output VAR27;
output [0 : 1] VAR196;
output [0 : 2] VAR179;
output VAR132;
output VAR188;
input VAR171;
input VAR174;
output VAR205;
output VAR131;
input [0 : 3] VAR154;
output VAR21;
output VAR59;
input VAR42;
output VAR184;
input [0 : 9] VAR182;
input VAR4;
output VAR89;
input VAR2;
output [0:5] VAR122;
output VAR75;
output VAR116;
output VAR107;
output VAR220;
output VAR8;
input VAR76;
output VAR11;
output VAR86;
output [0 : 1] VAR18;
output [0 : 2] VAR157;
output VAR37;
output VAR167;
input VAR53;
input VAR189;
output VAR108;
output VAR141;
input [0 : 3] VAR120;
output VAR101;
output VAR15;
input VAR213;
output VAR218;
input [0 : 9] VAR23;
input VAR225;
output VAR208;
input VAR210;
output [0:5] VAR222;
output VAR80;
output VAR191;
output VAR229;
output VAR133;
output VAR219;
input VAR81;
output VAR160;
output VAR155;
output [0 : 1] VAR102;
output [0 : 2] VAR34;
output VAR153;
output VAR178;
input VAR206;
input VAR199;
output VAR152;
output VAR135;
input [0 : 3] VAR190;
output VAR128;
output VAR43;
input VAR123;
output VAR145;
input [0 : 9] VAR161;
input VAR16;
output VAR142;
input VAR55;
output [0:5] VAR195;
output VAR99;
output VAR85;
output VAR217;
output VAR39;
output VAR166;
input VAR114;
output VAR106;
output VAR36;
output [0 : 1] VAR204;
output [0 : 2] VAR72;
output VAR20;
output VAR28;
input VAR134;
input VAR71;
output VAR207;
output VAR105;
input [0 : 3] VAR162;
output VAR140;
output VAR51;
input VAR66;
output VAR68;
input [0 : 9] VAR129;
input VAR202;
output VAR100;
input VAR221;
output [0:5] VAR200;
output VAR175;
input VAR181;
input VAR137;
input [0 : VAR215-1] VAR14;
input [0 : VAR215/8-1] VAR58;
input [0 : VAR187-1] VAR3;
input [0 : VAR187-1] VAR94;
output [0 : VAR215-1] VAR35;
output VAR198;
output VAR103;
output VAR211;
output VAR49;
reg [0 : VAR215-1] VAR224;
reg [0 : VAR215-1] VAR88;
reg [0 : VAR215-1] VAR113;
reg [0 : VAR215-1] VAR78;
reg [0 : VAR215-1] VAR169;
reg [0 : VAR215-1] VAR136;
reg [0 : VAR215-1] VAR170;
reg [0 : VAR215-1] VAR112;
reg [0 : VAR215-1] VAR193;
reg [0 : VAR215-1] VAR60;
reg [0 : VAR215-1] VAR26;
reg [0 : VAR215-1] VAR9;
reg [0 : VAR215-1] VAR163;
reg [0 : VAR215-1] VAR111;
reg [0 : VAR215-1] VAR24;
reg [0 : VAR215-1] VAR77;
wire [0 : 15] VAR226;
wire [0 : 15] VAR47;
reg [0 : VAR215-1] VAR70;
wire VAR117;
wire VAR91;
integer VAR63, VAR118;
wire [7:0] VAR93;
wire VAR197;
wire [13:0] VAR185;
wire [7:0] VAR10;
wire VAR67;
wire [17:0] VAR144;
wire VAR216, VAR87, VAR159, VAR115;
wire VAR149, VAR194, VAR19, VAR83;
wire VAR90, VAR164, VAR46, VAR209;
wire VAR95, VAR223, VAR17, VAR1;
wire [0:5] VAR92, VAR127, VAR5, VAR64;
wire [0:3] VAR13, VAR33, VAR227, VAR138;
wire [0:3] VAR146, VAR22, VAR6, VAR192;
wire [0:7] VAR156, VAR172, VAR97, VAR168;
wire [0:7] VAR150, VAR147, VAR180, VAR79;
wire [0:7] VAR201, VAR45, VAR84, VAR50;
wire [0:7] VAR186, VAR12, VAR57, VAR62;
assign VAR104 = ~VAR224[31];
assign VAR40 = VAR224[29];
assign VAR87 = VAR224[30];
assign VAR214 = (VAR224[27])?VAR224[28]:VAR2;
assign VAR159 = VAR224[26];
assign VAR115 = VAR224[25];
assign VAR7 = ~(VAR159 & VAR216); assign VAR27 = ~(VAR115 & VAR216);
assign VAR196[0] = VAR224[23];
assign VAR196[1] = VAR224[24];
assign VAR132 = VAR224[22];
assign VAR179[0] = ~VAR4;
assign VAR179[1] = VAR73;
assign VAR179[2] = ~VAR165;
assign VAR205 = VAR224[18];
assign VAR131 = VAR224[17];
assign VAR184 = VAR224[16];
assign VAR89 = VAR224[10];
assign VAR188 = 1'b1; assign VAR21 = 1'b0; assign VAR59 = 1'b0;
assign VAR116 = ~VAR88[31];
assign VAR194 = VAR88[30];
assign VAR220 = VAR88[29];
assign VAR8 = (VAR88[27])?VAR88[28]:VAR210;
assign VAR19 = VAR88[26];
assign VAR83 = VAR88[25];
assign VAR11 = ~(VAR19 & VAR149); assign VAR86 = ~(VAR83 & VAR149);
assign VAR18[0] = VAR88[23];
assign VAR18[1] = VAR88[24];
assign VAR37 = VAR88[22];
assign VAR157[0] = ~VAR225;
assign VAR157[1] = VAR107;
assign VAR157[2] = ~VAR76;
assign VAR108 = VAR88[18];
assign VAR141 = VAR88[17];
assign VAR218 = VAR88[16];
assign VAR208 = VAR88[10];
assign VAR167 = 1'b1; assign VAR101 = 1'b0; assign VAR15 = 1'b0;
assign VAR191 = ~VAR113[31];
assign VAR164 = VAR113[30];
assign VAR133 = VAR113[29];
assign VAR219 = (VAR113[27])?VAR113[28]:VAR55;
assign VAR46 = VAR113[26];
assign VAR209 = VAR113[25];
assign VAR160 = ~(VAR46 & VAR90); assign VAR155 = ~(VAR209 & VAR90);
assign VAR102[0] = VAR113[23];
assign VAR102[1] = VAR113[24];
assign VAR153 = VAR113[22];
assign VAR34[0] = ~VAR16;
assign VAR34[1] = VAR229;
assign VAR34[2] = ~VAR81;
assign VAR152 = VAR113[18];
assign VAR135 = VAR113[17];
assign VAR145 = VAR113[16];
assign VAR142 = VAR113[10];
assign VAR178 = 1'b1; assign VAR128 = 1'b0; assign VAR43 = 1'b0;
assign VAR85 = ~VAR78[31];
assign VAR223 = VAR78[30];
assign VAR39 = VAR78[29];
assign VAR166 = (VAR78[27])?VAR78[28]:VAR221;
assign VAR17 = VAR78[26];
assign VAR1 = VAR78[25];
assign VAR106 = ~(VAR17 & VAR95); assign VAR36 = ~(VAR1 & VAR95);
assign VAR204[0] = VAR78[23];
assign VAR204[1] = VAR78[24];
assign VAR20 = VAR78[22];
assign VAR72[0] = ~VAR202;
assign VAR72[1] = VAR217;
assign VAR72[2] = ~VAR114;
assign VAR207 = VAR78[18];
assign VAR105 = VAR78[17];
assign VAR68 = VAR78[16];
assign VAR100 = VAR78[10];
assign VAR28 = 1'b1; assign VAR140 = 1'b0; assign VAR51 = 1'b0;
assign VAR98 = VAR93[0];
assign VAR56 = VAR93[1];
assign VAR61 = VAR93[2];
assign VAR54 = VAR93[3];
assign VAR30 = VAR93[4];
assign VAR126 = VAR93[5];
assign VAR143 = VAR93[6];
assign VAR203 = VAR93[7];
assign VAR185 = VAR169[18:31];
assign VAR67 = VAR136[31];
assign VAR10 = VAR170[24:31];
assign VAR144 = VAR112[14:31];
assign
VAR226 = VAR94[0:15],
VAR47 = VAR3[0:15],
VAR91 = VAR94[0] || VAR94[1] || VAR94[2] || VAR94[3] || VAR94[4] || VAR94[5] || VAR94[6] || VAR94[7] || VAR94[8] || VAR94[9] || VAR94[10] || VAR94[11] || VAR94[12] || VAR94[13] || VAR94[14] || VAR94[15],
VAR117 = VAR3[0] || VAR3[1] || VAR3[2] || VAR3[3] || VAR3[4] || VAR3[5] || VAR3[6] || VAR3[7] || VAR3[8] || VAR3[9] || VAR3[10] || VAR3[11] || VAR3[12] || VAR3[13] || VAR3[14] || VAR3[15];
assign VAR35 = VAR70;
assign VAR198 = VAR91 || VAR117;
assign VAR211 = 0;
assign VAR103 = 0;
assign VAR49 = 0;
always @( posedge VAR181 )
begin: VAR124
if ( VAR137 == 1 )
begin
VAR224 <= 0;
VAR88 <= 0;
VAR113 <= 0;
VAR78 <= 0;
VAR169 <= 0;
VAR136 <= 0;
VAR170 <= 0;
VAR112 <= 0;
VAR193 <= {14'h3fff, 22'h0}; VAR60 <= {14'h3fff, 22'h0};
VAR26 <= {14'h3fff, 22'h0};
VAR9 <= {14'h3fff, 22'h0};
VAR163 <= 0;
VAR111 <= 0;
VAR24 <= 0;
VAR77 <= 0;
end
else
case ( VAR226 )
16'b1000000000000000 :
for ( VAR63 = 0; VAR63 <= (VAR215/8)-1; VAR63 = VAR63+1 )
if ( VAR58[VAR63] == 1 )
for ( VAR118 = VAR63*8; VAR118 <= VAR63*8+7; VAR118 = VAR118+1 )
VAR224[VAR118] <= VAR14[VAR118];
16'b0100000000000000 :
for ( VAR63 = 0; VAR63 <= (VAR215/8)-1; VAR63 = VAR63+1 )
if ( VAR58[VAR63] == 1 )
for ( VAR118 = VAR63*8; VAR118 <= VAR63*8+7; VAR118 = VAR118+1 )
VAR88[VAR118] <= VAR14[VAR118];
16'b0010000000000000 :
for ( VAR63 = 0; VAR63 <= (VAR215/8)-1; VAR63 = VAR63+1 )
if ( VAR58[VAR63] == 1 )
for ( VAR118 = VAR63*8; VAR118 <= VAR63*8+7; VAR118 = VAR118+1 )
VAR113[VAR118] <= VAR14[VAR118];
16'b0001000000000000 :
for ( VAR63 = 0; VAR63 <= (VAR215/8)-1; VAR63 = VAR63+1 )
if ( VAR58[VAR63] == 1 )
for ( VAR118 = VAR63*8; VAR118 <= VAR63*8+7; VAR118 = VAR118+1 )
VAR78[VAR118] <= VAR14[VAR118];
16'b0000100000000000 :
for ( VAR63 = 0; VAR63 <= (VAR215/8)-1; VAR63 = VAR63+1 )
if ( VAR58[VAR63] == 1 )
for ( VAR118 = VAR63*8; VAR118 <= VAR63*8+7; VAR118 = VAR118+1 )
VAR169[VAR118] <= VAR14[VAR118];
16'b0000010000000000 :
for ( VAR63 = 0; VAR63 <= (VAR215/8)-1; VAR63 = VAR63+1 )
if ( VAR58[VAR63] == 1 )
for ( VAR118 = VAR63*8; VAR118 <= VAR63*8+7; VAR118 = VAR118+1 )
VAR136[VAR118] <= VAR14[VAR118];
16'b0000001000000000 :
for ( VAR63 = 0; VAR63 <= (VAR215/8)-1; VAR63 = VAR63+1 )
if ( VAR58[VAR63] == 1 )
for ( VAR118 = VAR63*8; VAR118 <= VAR63*8+7; VAR118 = VAR118+1 )
VAR170[VAR118] <= VAR14[VAR118];
16'b0000000100000000 :
for ( VAR63 = 0; VAR63 <= (VAR215/8)-1; VAR63 = VAR63+1 )
if ( VAR58[VAR63] == 1 )
for ( VAR118 = VAR63*8; VAR118 <= VAR63*8+7; VAR118 = VAR118+1 )
VAR112[VAR118] <= VAR14[VAR118];
16'b0000000010000000 :
for ( VAR63 = 0; VAR63 <= (VAR215/8)-1; VAR63 = VAR63+1 )
if ( VAR58[VAR63] == 1 )
for ( VAR118 = VAR63*8; VAR118 <= VAR63*8+7; VAR118 = VAR118+1 )
VAR193[VAR118] <= VAR14[VAR118];
16'b0000000001000000 :
for ( VAR63 = 0; VAR63 <= (VAR215/8)-1; VAR63 = VAR63+1 )
if ( VAR58[VAR63] == 1 )
for ( VAR118 = VAR63*8; VAR118 <= VAR63*8+7; VAR118 = VAR118+1 )
VAR60[VAR118] <= VAR14[VAR118];
16'b0000000000100000 :
for ( VAR63 = 0; VAR63 <= (VAR215/8)-1; VAR63 = VAR63+1 )
if ( VAR58[VAR63] == 1 )
for ( VAR118 = VAR63*8; VAR118 <= VAR63*8+7; VAR118 = VAR118+1 )
VAR26[VAR118] <= VAR14[VAR118];
16'b0000000000010000 :
for ( VAR63 = 0; VAR63 <= (VAR215/8)-1; VAR63 = VAR63+1 )
if ( VAR58[VAR63] == 1 )
for ( VAR118 = VAR63*8; VAR118 <= VAR63*8+7; VAR118 = VAR118+1 )
VAR9[VAR118] <= VAR14[VAR118];
16'b0000000000001000 :
for ( VAR63 = 0; VAR63 <= (VAR215/8)-1; VAR63 = VAR63+1 )
if ( VAR58[VAR63] == 1 )
for ( VAR118 = VAR63*8; VAR118 <= VAR63*8+7; VAR118 = VAR118+1 )
VAR163[VAR118] <= VAR14[VAR118];
16'b0000000000000100 :
for ( VAR63 = 0; VAR63 <= (VAR215/8)-1; VAR63 = VAR63+1 )
if ( VAR58[VAR63] == 1 )
for ( VAR118 = VAR63*8; VAR118 <= VAR63*8+7; VAR118 = VAR118+1 )
VAR111[VAR118] <= VAR14[VAR118];
16'b0000000000000010 :
for ( VAR63 = 0; VAR63 <= (VAR215/8)-1; VAR63 = VAR63+1 )
if ( VAR58[VAR63] == 1 )
for ( VAR118 = VAR63*8; VAR118 <= VAR63*8+7; VAR118 = VAR118+1 )
VAR24[VAR118] <= VAR14[VAR118];
16'b0000000000000001 :
for ( VAR63 = 0; VAR63 <= (VAR215/8)-1; VAR63 = VAR63+1 )
if ( VAR58[VAR63] == 1 )
for ( VAR118 = VAR63*8; VAR118 <= VAR63*8+7; VAR118 = VAR118+1 )
VAR77[VAR118] <= VAR14[VAR118];
default : ;
endcase
end
always @( VAR47 or VAR224 or VAR88 or VAR113 or VAR78 or VAR169 or VAR136 or VAR170 or VAR112 or VAR193 or VAR60 or VAR26 or VAR9 or VAR163 or VAR111 or VAR24 or VAR77
or VAR182 or VAR4 or VAR42 or VAR154 or VAR174 or VAR171 or VAR188 or VAR165
or VAR23 or VAR225 or VAR213 or VAR120 or VAR189 or VAR53 or VAR167 or VAR76
or VAR161 or VAR16 or VAR123 or VAR190 or VAR199 or VAR206 or VAR178 or VAR81
or VAR129 or VAR202 or VAR66 or VAR162 or VAR71 or VAR134 or VAR28 or VAR114
or VAR197 )
begin: VAR125
case ( VAR47 )
16'b1000000000000000 : VAR70 <= {VAR182[0:9],
VAR224[10],
VAR4,
VAR42,
VAR154[3],
VAR154[2],
VAR154[1],
VAR154[0],
VAR224[17:18],
VAR174,
VAR171,
VAR188,
VAR224[22:26],
VAR165,
VAR224[28:31]};
16'b0100000000000000 : VAR70 <= {VAR23[0:9],
VAR88[10],
VAR225,
VAR213,
VAR120[3],
VAR120[2],
VAR120[1],
VAR120[0],
VAR88[17:18],
VAR189,
VAR53,
VAR167,
VAR88[22:26],
VAR76,
VAR88[28:31]};
16'b0010000000000000 : VAR70 <= {VAR161[0:9],
VAR113[10],
VAR16,
VAR123,
VAR190[3],
VAR190[2],
VAR190[1],
VAR190[0],
VAR113[17:18],
VAR199,
VAR206,
VAR178,
VAR113[22:26],
VAR81,
VAR113[28:31]};
16'b0001000000000000 : VAR70 <= {VAR129[0:9],
VAR78[10],
VAR202,
VAR66,
VAR162[3],
VAR162[2],
VAR162[1],
VAR162[0],
VAR78[17:18],
VAR71,
VAR134,
VAR28,
VAR78[22:26],
VAR114,
VAR78[28:31]};
16'b0000100000000000 : VAR70 <= {VAR169[0:22], VAR197, VAR169[24:31]};
16'b0000010000000000 : VAR70 <= VAR136;
16'b0000001000000000 : VAR70 <= VAR170;
16'b0000000100000000 : VAR70 <= VAR112;
16'b0000000010000000 : VAR70 <= VAR193;
16'b0000000001000000 : VAR70 <= VAR60;
16'b0000000000100000 : VAR70 <= VAR26;
16'b0000000000010000 : VAR70 <= VAR9;
16'b0000000000001000 : VAR70 <= VAR163;
16'b0000000000000100 : VAR70 <= VAR111;
16'b0000000000000010 : VAR70 <= VAR24;
16'b0000000000000001 : VAR70 <= VAR77;
default : VAR70 <= 0;
endcase
end
VAR183 VAR183(
.VAR44(VAR181),
.VAR177(VAR137),
.VAR185(VAR185),
.VAR10(VAR10),
.VAR67(VAR67),
.VAR144(VAR144),
.VAR148(VAR94[4]),
.VAR139(VAR14[23]),
.VAR41(VAR197),
.VAR93(VAR93),
.VAR82(VAR25),
.VAR173(VAR96)
);
VAR121 VAR212 (
.clk(VAR181),
.reset(VAR137),
.VAR65(VAR87),
.VAR110(VAR92),
.VAR151(VAR13),
.VAR69(VAR146),
.VAR74(VAR97),
.VAR119(VAR168),
.VAR48(VAR172),
.VAR52(VAR156),
.VAR38(VAR73),
.VAR158(VAR122),
.VAR29(VAR216),
.VAR228(VAR75)
);
VAR121 VAR130 (
.clk(VAR181),
.reset(VAR137),
.VAR65(VAR194),
.VAR110(VAR127),
.VAR151(VAR33),
.VAR69(VAR22),
.VAR74(VAR180),
.VAR119(VAR79),
.VAR48(VAR147),
.VAR52(VAR150),
.VAR38(VAR107),
.VAR158(VAR222),
.VAR29(VAR149),
.VAR228(VAR80)
);
VAR121 VAR109 (
.clk(VAR181),
.reset(VAR137),
.VAR65(VAR164),
.VAR110(VAR5),
.VAR151(VAR227),
.VAR69(VAR6),
.VAR74(VAR84),
.VAR119(VAR50),
.VAR48(VAR45),
.VAR52(VAR201),
.VAR38(VAR229),
.VAR158(VAR195),
.VAR29(VAR90),
.VAR228(VAR99)
);
VAR121 VAR176 (
.clk(VAR181),
.reset(VAR137),
.VAR65(VAR223),
.VAR110(VAR64),
.VAR151(VAR138),
.VAR69(VAR192),
.VAR74(VAR57),
.VAR119(VAR62),
.VAR48(VAR12),
.VAR52(VAR186),
.VAR38(VAR217),
.VAR158(VAR200),
.VAR29(VAR95),
.VAR228(VAR175)
);
assign VAR92 = VAR193[0:5];
assign VAR127 = VAR60[0:5];
assign VAR5 = VAR26[0:5];
assign VAR64 = VAR9[0:5];
assign VAR13 = VAR193[6:9];
assign VAR33 = VAR60[6:9];
assign VAR227 = VAR26[6:9];
assign VAR138 = VAR9[6:9];
assign VAR146 = VAR193[10:13];
assign VAR22 = VAR60[10:13];
assign VAR6 = VAR26[10:13];
assign VAR192 = VAR9[10:13];
assign VAR156 = VAR163[0:7];
assign VAR150 = VAR111[0:7];
assign VAR201 = VAR24[0:7];
assign VAR186 = VAR77[0:7];
assign VAR172 = VAR163[8:15];
assign VAR147 = VAR111[8:15];
assign VAR45 = VAR24[8:15];
assign VAR12 = VAR77[8:15];
assign VAR97 = VAR163[16:23];
assign VAR180 = VAR111[16:23];
assign VAR84 = VAR24[16:23];
assign VAR57 = VAR77[16:23];
assign VAR168 = VAR163[24:31];
assign VAR79 = VAR111[24:31];
assign VAR50 = VAR24[24:31];
assign VAR62 = VAR77[24:31];
endmodule
|
bsd-2-clause
|
olajep/oh
|
src/adi/hdl/library/common/ad_ss_444to422.v
| 4,306 |
module MODULE1 #(
parameter VAR1 = 0,
parameter VAR18 = 16) (
input clk,
input VAR21,
input [VAR16:0] VAR6,
input [23:0] VAR10,
output reg [VAR16:0] VAR19,
output reg [15:0] VAR17);
localparam VAR16 = VAR18 - 1;
reg VAR8 = 'd0;
reg [VAR16:0] VAR14 = 'd0;
reg [23:0] VAR12 = 'd0;
reg VAR4 = 'd0;
reg [VAR16:0] VAR13 = 'd0;
reg [23:0] VAR3 = 'd0;
reg VAR22 = 'd0;
reg [VAR16:0] VAR9 = 'd0;
reg [23:0] VAR2 = 'd0;
reg [ 7:0] VAR20 = 'd0;
reg [ 7:0] VAR15 = 'd0;
reg VAR11 = 'd0;
wire [ 9:0] VAR7;
wire [ 9:0] VAR5;
always @(posedge clk) begin
VAR8 <= VAR21;
VAR14 <= VAR6;
if (VAR21 == 1'b1) begin
VAR12 <= VAR10;
end
VAR4 <= VAR8;
VAR13 <= VAR14;
if (VAR8 == 1'b1) begin
VAR3 <= VAR12;
end
VAR22 <= VAR4;
VAR9 <= VAR13;
if (VAR4 == 1'b1) begin
VAR2 <= VAR3;
end
end
assign VAR7 = {2'd0, VAR12[23:16]} +
{2'd0, VAR2[23:16]} +
{1'd0, VAR3[23:16], 1'd0};
assign VAR5 = {2'd0, VAR12[7:0]} +
{2'd0, VAR2[7:0]} +
{1'd0, VAR3[7:0], 1'd0};
always @(posedge clk) begin
VAR20 <= VAR7[9:2];
VAR15 <= VAR5[9:2];
if (VAR22 == 1'b1) begin
VAR11 <= ~VAR11;
end else begin
VAR11 <= VAR1;
end
end
always @(posedge clk) begin
VAR19 <= VAR9;
if (VAR22 == 1'b0) begin
VAR17 <= 'd0;
end else if (VAR11 == 1'b1) begin
VAR17 <= {VAR20, VAR2[15:8]};
end else begin
VAR17 <= {VAR15, VAR2[15:8]};
end
end
endmodule
|
mit
|
fzyz999/5-stage-MIPS
|
control/forwardctl.v
| 4,395 |
module MODULE1 (VAR58,VAR2,VAR6,VAR26,
VAR45,VAR56,
VAR65,VAR23,
VAR9);
input [31:0] VAR58,VAR2,VAR6,VAR26;
output [2:0] VAR45,VAR56;
output [2:0] VAR65,VAR23;
output [1:0] VAR9;
wire VAR16,VAR14,VAR20,VAR32,VAR10,VAR50,VAR7,VAR24,VAR30,VAR22,VAR40,VAR18;
wire VAR44,VAR8,VAR31,VAR42,VAR55,VAR60,VAR43,VAR34,VAR48,VAR52,VAR61,VAR53;
wire VAR63,VAR57,VAR15,VAR54,VAR59,VAR13,VAR51,VAR37,VAR35,VAR29,VAR12,VAR33;
wire VAR47,VAR4,VAR62,VAR5,VAR49,VAR25,VAR46,VAR39,VAR36,VAR19,VAR38,VAR17;
VAR3 VAR41(VAR58,VAR16,VAR14,VAR7,VAR24,VAR32,VAR10,VAR50,VAR30,VAR22,VAR18,VAR40);
VAR3 VAR64(VAR2,VAR44,VAR8,VAR34,VAR43,VAR42,VAR55,VAR60,VAR48,VAR52,VAR53,VAR61);
VAR3 VAR21(VAR6,VAR63,VAR57,VAR37,VAR51,VAR54,VAR59,VAR13,VAR35,VAR29,VAR33,VAR12);
VAR3 VAR28(VAR26,VAR47,VAR4,VAR39,VAR46,VAR5,VAR49,VAR25,VAR36,VAR19,VAR17,VAR38);
assign VAR20 = VAR32 | VAR50;
assign VAR31 = VAR32 | VAR60;
assign VAR15 = VAR32 | VAR13;
assign VAR62 = VAR32 | VAR25;
assign VAR45 = VAR20 & VAR58[VAR11]==5'h0 ? 0:
VAR20 & VAR63 & VAR58[VAR11]==VAR6[VAR1] ? 1:
VAR20 & VAR57 & VAR58[VAR11]==VAR6[VAR27] ? 1:
VAR20 & VAR35 & VAR58[VAR11]==5'd31 ? 3:
VAR20 & VAR47 & VAR58[VAR11]==VAR26[VAR1] ? 2:
VAR20 & VAR4 & VAR58[VAR11]==VAR26[VAR27] ? 2:
VAR20 & (VAR39 | VAR38) & VAR58[VAR11]==VAR26[VAR27] ? 2:
VAR20 & VAR36 & VAR58[VAR11]==5'd31 ? 4:
0;
assign VAR56 = VAR10 & VAR58[VAR27]==5'h0 ? 0:
VAR10 & VAR63 & VAR58[VAR27]==VAR6[VAR1] ? 1:
VAR10 & (VAR57 | VAR12) & VAR58[VAR27]==VAR6[VAR27] ? 1:
VAR10 & VAR35 & VAR58[VAR27]==5'd31 ? 3:
VAR10 & VAR47 & VAR58[VAR27]==VAR26[VAR1] ? 2:
VAR10 & (VAR4 | VAR38) & VAR58[VAR27]==VAR26[VAR27] ? 2:
VAR10 & VAR39 & VAR58[VAR27]==VAR26[VAR27] ? 2:
VAR10 & VAR36 & VAR58[VAR27]==5'd31 ? 4:
0;
assign VAR65 = (VAR44 | VAR8 | VAR34 | VAR43) & VAR2[VAR11]==5'h0 ? 0:
(VAR44 | VAR8 | VAR34 | VAR43) & VAR63 & VAR2[VAR11]==VAR6[VAR1] ? 1:
(VAR44 | VAR8 | VAR34 | VAR43) & (VAR57 | VAR12) & VAR2[VAR11]==VAR6[VAR27] ? 1:
(VAR44 | VAR8 | VAR34 | VAR43) & VAR35 & VAR2[VAR11]==5'd31 ? 3:
(VAR44 | VAR8 | VAR34 | VAR43) & VAR47 & VAR2[VAR11]==VAR26[VAR1] ? 2:
(VAR44 | VAR8 | VAR34 | VAR43) & (VAR4 | VAR38) & VAR2[VAR11]==VAR26[VAR27] ? 2:
(VAR44 | VAR8 | VAR34 | VAR43) & VAR39 & VAR2[VAR11]==VAR26[VAR27] ? 2:
(VAR44 | VAR8 | VAR34 | VAR43) & VAR36 & VAR2[VAR11]==5'd31 ? 4:
0;
assign VAR23 = (VAR44 | VAR43) & VAR2[VAR27]==5'h0 ? 0:
(VAR44 | VAR43) & VAR63 & VAR2[VAR27]==VAR6[VAR1] ? 1:
(VAR44 | VAR43) & (VAR57 | VAR12) & VAR2[VAR27]==VAR6[VAR27] ? 1:
(VAR44 | VAR43) & VAR35 & VAR2[VAR27]==5'd31 ? 3:
(VAR44 | VAR43) & VAR47 & VAR2[VAR27]==VAR26[VAR1] ? 2:
(VAR44 | VAR43) & (VAR4 | VAR38) & VAR2[VAR27]==VAR26[VAR27] ? 2:
(VAR44 | VAR43) & VAR39 & VAR2[VAR27]==VAR26[VAR27] ? 2:
(VAR44 | VAR43) & VAR36 & VAR2[VAR27]==5'd31 ? 4:
0;
assign VAR9 = (VAR33 | VAR51) & VAR6[VAR27]==5'h0 ? 0:
(VAR33 | VAR51) & VAR47 & VAR6[VAR27]==VAR26[VAR1] ? 1:
(VAR33 | VAR51) & (VAR4 | VAR38) & VAR6[VAR27]==VAR26[VAR27] ? 1:
(VAR33 | VAR51) & (VAR39 | VAR38) & VAR6[VAR27]==VAR26[VAR27] ? 1:
(VAR33 | VAR51) & VAR36 & VAR6[VAR27]==5'd31 ? 2:
0;
endmodule
|
mit
|
ssabogal/nocturnal
|
noc_dev/noc_dev.ip_user_files/ipstatic/axi_infrastructure_v1_1/hdl/verilog/axi_infrastructure_v1_1_axic_srl_fifo.v
| 6,589 |
module MODULE1 #(
parameter VAR30 = "VAR9",
parameter integer VAR26 = 1,
parameter integer VAR31 = 16 )
(
input wire VAR8, input wire VAR15, input wire [VAR26-1:0] VAR21, input wire VAR27, output reg VAR11, output wire [VAR26-1:0] VAR33, output reg VAR32, input wire VAR2 );
function integer VAR1 (input integer VAR10);
integer VAR22;
begin
VAR22 = VAR10;
VAR22 = VAR22 - 1;
for (VAR1=1; VAR22>1; VAR1=VAR1+1)
VAR22 = VAR22 >> 1;
end
endfunction
localparam integer VAR20 = VAR1(VAR31);
reg [VAR20-1:0] VAR29;
wire [4-1:0] VAR14;
wire VAR6;
wire VAR16 ;
reg VAR7;
always @(posedge VAR8) begin
VAR7 <= ~VAR15;
end
always @(posedge VAR8) begin
if (~VAR15) begin
VAR29 <= {VAR20{1'b1}};
end
else begin
VAR29 <= VAR6 & ~VAR16 ? VAR29 + 1'b1 :
~VAR6 & VAR16 ? VAR29 - 1'b1 :
VAR29;
end
end
assign VAR6 = VAR27 & VAR11;
always @(posedge VAR8) begin
if (~VAR15) begin
VAR11 <= 1'b0;
end
else begin
VAR11 <= VAR7 ? 1'b1 :
VAR6 & ~VAR16 && (VAR29 == (VAR31 - 2'd2)) ? 1'b0 :
~VAR6 & VAR16 ? 1'b1 :
VAR11;
end
end
assign VAR16 = VAR32 & VAR2;
always @(posedge VAR8) begin
if (~VAR15) begin
VAR32 <= 1'b0;
end
else begin
VAR32 <= ~VAR6 & VAR16 && (VAR29 == {VAR20{1'b0}}) ? 1'b0 :
VAR6 & ~VAR16 ? 1'b1 :
VAR32;
end
end
generate
if (VAR20 < 4) begin : VAR23
assign VAR14[0+:VAR20] = VAR29[VAR20-1:0];
assign VAR14[VAR20+:(4-VAR20)] = {4-VAR20{1'b0}};
end
else begin : VAR25
assign VAR14[VAR20-1:0] = VAR29[VAR20-1:0];
end
endgenerate
generate
genvar VAR13;
for (VAR13 = 0; VAR13 < VAR26; VAR13 = VAR13 + 1) begin : VAR12
VAR17
VAR4(
.VAR28 ( VAR33[VAR13] ) ,
.VAR3 ( VAR14[0] ) ,
.VAR18 ( VAR14[1] ) ,
.VAR5 ( VAR14[2] ) ,
.VAR35 ( VAR14[3] ) ,
.VAR34 ( VAR6 ) ,
.VAR19 ( VAR8 ) ,
.VAR24 ( VAR21[VAR13] )
);
end
endgenerate
endmodule
|
mit
|
dailypips/miaow
|
src/verilog/rtl/dispatcher/cam_allocator.v
| 1,713 |
module MODULE1
(
VAR8,
clk, rst, VAR9, VAR7, VAR3, VAR5,
VAR11
);
parameter VAR14 = 6;
parameter VAR10 = 64;
parameter VAR13 = 10;
parameter VAR4 = 1024;
input clk,rst;
input VAR9;
input [VAR13:0] VAR7;
output [VAR10-1:0] VAR8;
input VAR3;
input [VAR14-1 : 0] VAR5;
input [VAR13:0] VAR11;
reg VAR15;
reg [VAR13:0] VAR16;
reg [VAR13:0] VAR17[VAR10-1 :0];
reg [VAR10-1 :0] VAR12;
wire [VAR10-1 :0] VAR1;
genvar VAR6;
generate
for (VAR6=0; VAR6<VAR10; VAR6=VAR6+1) begin : VAR2
assign VAR1[VAR6] = (!VAR15)? 1'b0 :
(!VAR12[VAR6]) ? 1'b1 :
(VAR17[VAR6] >= VAR16)? 1'b1:
1'b0;
end
endgenerate
assign VAR8 = VAR1;
always @(posedge clk) begin
if (VAR3) begin
VAR17[VAR5] <= VAR11;
end
end
always @(posedge clk or rst) begin
if(rst) begin
VAR12 <= 0;
VAR15 <= 0;
VAR16 <= 0;
end else begin
VAR15 <= VAR9;
VAR16 <= VAR7;
if (VAR3) begin
VAR12[VAR5] <= 1'b1;
end
end
end
endmodule
|
bsd-3-clause
|
subailong/miaow
|
src/verilog/rtl/exec/rd_port_9_to_1.v
| 2,065 |
module MODULE1 (
VAR13,
VAR9,
VAR19,
VAR14,
VAR15,
VAR2,
VAR21,
VAR8,
VAR5,
VAR18,
VAR12,
VAR7,
VAR17,
VAR10,
VAR4,
VAR16,
VAR20,
VAR1,
VAR3
);
parameter VAR6 = 1;
input VAR13;
input [VAR6 - 1:0] VAR9;
input VAR19;
input [VAR6 - 1:0] VAR14;
input VAR15;
input [VAR6 - 1:0] VAR2;
input VAR21;
input [VAR6 - 1:0] VAR8;
input VAR5;
input [VAR6 - 1:0] VAR18;
input VAR12;
input [VAR6 - 1:0] VAR7;
input VAR17;
input [VAR6 - 1:0] VAR10;
input VAR4;
input [VAR6 - 1:0] VAR16;
input VAR20;
input [VAR6 - 1:0] VAR1;
output [VAR6 - 1:0] VAR3;
reg [VAR6 - 1:0] VAR3;
always @ (
VAR13 or VAR19 or VAR15 or VAR21 or VAR5 or
VAR12 or VAR17 or VAR4 or VAR20 or
VAR9 or VAR14 or VAR2 or VAR8 or
VAR18 or VAR7 or VAR10 or VAR16 or VAR1)
begin
casex({VAR20, VAR4, VAR17, VAR12, VAR5,
VAR21, VAR15, VAR19, VAR13})
9'b000000001: VAR3 <= VAR9;
9'b000000010: VAR3 <= VAR14;
9'b000000100: VAR3 <= VAR2;
9'b000001000: VAR3 <= VAR8;
9'b000010000: VAR3 <= VAR18;
9'b000100000: VAR3 <= VAR7;
9'b001000000: VAR3 <= VAR10;
9'b010000000: VAR3 <= VAR16;
9'b100000000: VAR3 <= VAR1;
default: VAR3 <= 6'VAR11;
endcase
end
endmodule
|
bsd-3-clause
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/nor4/sky130_fd_sc_lp__nor4.behavioral.v
| 1,425 |
module MODULE1 (
VAR9,
VAR6,
VAR1,
VAR4,
VAR2
);
output VAR9;
input VAR6;
input VAR1;
input VAR4;
input VAR2;
supply1 VAR5;
supply0 VAR11;
supply1 VAR7 ;
supply0 VAR10 ;
wire VAR12;
nor VAR3 (VAR12, VAR6, VAR1, VAR4, VAR2 );
buf VAR8 (VAR9 , VAR12 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/dfstp/sky130_fd_sc_ms__dfstp_1.v
| 2,273 |
module MODULE1 (
VAR10 ,
VAR1 ,
VAR3 ,
VAR9,
VAR7 ,
VAR2 ,
VAR4 ,
VAR5
);
output VAR10 ;
input VAR1 ;
input VAR3 ;
input VAR9;
input VAR7 ;
input VAR2 ;
input VAR4 ;
input VAR5 ;
VAR8 VAR6 (
.VAR10(VAR10),
.VAR1(VAR1),
.VAR3(VAR3),
.VAR9(VAR9),
.VAR7(VAR7),
.VAR2(VAR2),
.VAR4(VAR4),
.VAR5(VAR5)
);
endmodule
module MODULE1 (
VAR10 ,
VAR1 ,
VAR3 ,
VAR9
);
output VAR10 ;
input VAR1 ;
input VAR3 ;
input VAR9;
supply1 VAR7;
supply0 VAR2;
supply1 VAR4 ;
supply0 VAR5 ;
VAR8 VAR6 (
.VAR10(VAR10),
.VAR1(VAR1),
.VAR3(VAR3),
.VAR9(VAR9)
);
endmodule
|
apache-2.0
|
UCLONG/NetEmulation
|
BEE3_top/C3D_original_code/bee3Top/src/c3dClkGen.v
| 6,749 |
module MODULE1 (
input VAR35,
output VAR44, output VAR18, output VAR14, output VAR32,
output VAR3, output VAR9, output VAR46, output VAR28, output VAR29
);
wire VAR25, VAR60;
VAR30 #(.VAR20("VAR11"), .VAR61(2), .VAR12(0.0), .VAR40(3.2),
.VAR41(4), .VAR67(0.5), .VAR47(0.0),
.VAR15(2), .VAR58(0.5), .VAR66(0.0),
.VAR53(16), .VAR63(0.375), .VAR38(0.0),
.VAR45(4), .VAR51(0.5), .VAR23(180.0),
.VAR1(8), .VAR10(0.5), .VAR33(0.0),
.VAR34(4), .VAR62(0.5), .VAR56(180.0),
.VAR48("VAR49"), .VAR64(1), .VAR7(0.100))
VAR4 (.VAR50(VAR25 ), .VAR55 (VAR17 ), .VAR8 (VAR39 ), .VAR54 ( ), .VAR19 (VAR14 ), .VAR22 ( ), .VAR6 ( ), .VAR43 (VAR32 ), .VAR57 (VAR25 ), .VAR35 (VAR35 ), .VAR24 (1'b0 )
);
VAR59 VAR13 (.VAR42(VAR17), .VAR2(VAR44)); VAR59 VAR68 (.VAR42(VAR39), .VAR2(VAR18));
VAR30 #(.VAR20("VAR11"), .VAR61(20), .VAR12(0.0), .VAR40(3.2),
.VAR41(4), .VAR67(0.5), .VAR47(0.0),
.VAR15(4), .VAR58(0.5), .VAR66(90.0),
.VAR53(16), .VAR63(0.375), .VAR38(0.0),
.VAR45(4), .VAR51(0.5), .VAR23(180.0),
.VAR1(8), .VAR10(0.5), .VAR33(0.0),
.VAR34(4), .VAR62(0.5), .VAR56(180.0),
.VAR48("VAR49"), .VAR64(2), .VAR7(0.100))
VAR21 (.VAR50(VAR60 ), .VAR55 (VAR27 ), .VAR8 (VAR5 ), .VAR54 (VAR16 ), .VAR19 (VAR31 ),
.VAR22 (VAR26 ), .VAR6 ( ),
.VAR43 (VAR29), .VAR57 (VAR60 ), .VAR35 (VAR35 ), .VAR24 (1'b0 )
);
VAR59 VAR37 (.VAR42(VAR27), .VAR2(VAR3)); VAR59 VAR65 (.VAR42(VAR5), .VAR2(VAR9)); VAR59 VAR52 (.VAR42(VAR16), .VAR2(VAR46)); VAR59 VAR36 (.VAR42(VAR26), .VAR2(VAR28));
endmodule
|
gpl-3.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/bufz/gf180mcu_fd_sc_mcu9t5v0__bufz_3.behavioral.pp.v
| 1,236 |
module MODULE1( VAR3, VAR1, VAR2, VAR7, VAR8 );
input VAR3, VAR1;
inout VAR7, VAR8;
output VAR2;
VAR4 VAR5(.VAR3(VAR3),.VAR1(VAR1),.VAR2(VAR2),.VAR7(VAR7),.VAR8(VAR8));
VAR4 VAR6(.VAR3(VAR3),.VAR1(VAR1),.VAR2(VAR2),.VAR7(VAR7),.VAR8(VAR8));
|
apache-2.0
|
parallella/oh
|
common/hdl/oh_mux4.v
| 1,204 |
module MODULE1 #(parameter VAR1 = 1 ) (
input VAR3,
input VAR8,
input VAR5,
input VAR10,
input [VAR1-1:0] VAR7,
input [VAR1-1:0] VAR2,
input [VAR1-1:0] VAR9,
input [VAR1-1:0] VAR6,
output [VAR1-1:0] out );
assign out[VAR1-1:0] = ({(VAR1){VAR10}} & VAR6[VAR1-1:0] |
{(VAR1){VAR5}} & VAR9[VAR1-1:0] |
{(VAR1){VAR8}} & VAR2[VAR1-1:0] |
{(VAR1){VAR3}} & VAR7[VAR1-1:0]);
wire VAR4;
assign VAR4 = (VAR10 | VAR5 | VAR8 | VAR3) &
~(VAR10 ^ VAR5 ^ VAR8 ^ VAR3);
always @ (posedge VAR4)
begin
if(VAR4)
end
endmodule
|
mit
|
carstenbru/fpga-log
|
spartanmc/hardware/contrast_box/src/PushButton_Debouncer.v
| 1,473 |
module MODULE1#(parameter VAR1 = 16) (
input wire clk,
input wire VAR10,
output reg VAR8, output wire VAR7, output wire VAR3 );
reg VAR5; always @(posedge clk) VAR5 <= VAR10; reg VAR6; always @(posedge clk) VAR6 <= VAR5;
reg [VAR1-1:0] VAR9;
wire VAR2 = (VAR8==VAR6);
wire VAR4 = &VAR9;
always @(posedge clk)
if(VAR2)
VAR9 <= 0; else
begin
VAR9 <= VAR9 + 16'd1; if(VAR4) VAR8 <= ~VAR8; end
assign VAR7 = ~VAR2 & VAR4 & ~VAR8;
assign VAR3 = ~VAR2 & VAR4 & VAR8;
endmodule
|
gpl-3.0
|
JY-Kim/CA2016
|
Sources/IDEX.v
| 1,424 |
module MODULE1
(
input VAR18,
input VAR15,
input [31:0] VAR7,
input [31:0] VAR19,
input [31:0] VAR5,
input [4:0] VAR3,
input [31:0] VAR4,
input [4:0] VAR13,
input [2:0] VAR10,
input [1:0] VAR16,
input [4:0] VAR17,
output reg [31:0] VAR2,
output reg [31:0] VAR11,
output reg [31:0] VAR20,
output reg [4:0] VAR12,
output reg [31:0] VAR9,
output reg [4:0] VAR1,
output reg [2:0] VAR6,
output reg [1:0] VAR14,
output reg [4:0] VAR8
);
always @( posedge VAR18 or posedge VAR15 )
begin
if ( VAR15 )
begin
VAR2 <= 32'b0;
VAR11 <= 32'b0;
VAR20 <= 32'b0;
VAR12 <= 5'b0;
VAR9 <= 32'b0;
VAR1 <= 5'b0;
VAR6 <= 2'b0;
VAR14 <= 2'b0;
VAR8 <= 4'b0;
end
else
begin
VAR2 <= VAR7;
VAR11 <= VAR19;
VAR20 <= VAR5;
VAR12 <= VAR3;
VAR9 <= VAR4;
VAR1 <= VAR13;
VAR6 <= VAR10;
VAR14 <= VAR16;
VAR8 <= VAR17;
end
end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/a2bb2o/sky130_fd_sc_ms__a2bb2o.pp.blackbox.v
| 1,465 |
module MODULE1 (
VAR5 ,
VAR3,
VAR1,
VAR4 ,
VAR2 ,
VAR9,
VAR7,
VAR6 ,
VAR8
);
output VAR5 ;
input VAR3;
input VAR1;
input VAR4 ;
input VAR2 ;
input VAR9;
input VAR7;
input VAR6 ;
input VAR8 ;
endmodule
|
apache-2.0
|
monotone-RK/FACE
|
IEICE-Trans/4-way_2-tree/src/riffa/fifo_packer_128.v
| 5,107 |
module MODULE1 (
input VAR12,
input VAR20,
input [127:0] VAR2, input [2:0] VAR6, input VAR7, input VAR13, input VAR24, output [127:0] VAR16, output VAR9, output VAR14, output VAR23, output VAR8 );
reg [2:0] VAR19=0, VAR19=0;
reg VAR17=0, VAR17=0;
reg VAR18=0, VAR18=0;
reg VAR1=0, VAR1=0;
reg VAR11=0, VAR11=0;
reg [223:0] VAR4=224'd0, VAR4=224'd0;
reg [127:0] VAR5=128'd0, VAR5=128'd0;
reg [2:0] VAR10=0, VAR10=0;
reg [127:0] VAR15=128'd0, VAR15=128'd0;
reg [2:0] VAR21=0, VAR21=0;
assign VAR16 = VAR4[127:0];
assign VAR9 = VAR19[2];
assign VAR14 = VAR17;
assign VAR23 = VAR18;
assign VAR8 = VAR11;
wire [127:0] VAR22 = {128{1'b1}}<<(32*VAR10);
wire [127:0] VAR3 = ~VAR22 & VAR5;
always @ (posedge VAR12) begin
VAR19 <= (VAR20 ? 3'd0 : VAR19);
VAR17 <= (VAR20 ? 1'd0 : VAR17);
VAR18 <= (VAR20 ? 1'd0 : VAR18);
VAR1 <= (VAR20 ? 1'd0 : VAR1);
VAR11 <= (VAR20 ? 1'd0 : VAR11);
VAR4 <= (VAR20 ? 224'd0 : VAR4);
VAR5 <= VAR5;
VAR10 <= (VAR20 ? 3'd0 : VAR10);
VAR15 <= VAR15;
VAR21 <= (VAR20 ? 3'd0 : VAR21);
end
always @ (*) begin
VAR5 = VAR2;
VAR10 = VAR6;
VAR15 = VAR3;
VAR21 = VAR10;
if (VAR1 && (VAR19[1] | VAR19[0]))
VAR19 = 4;
end
else
VAR19 = VAR19 + VAR21 - {VAR19[2], 2'd0};
if (VAR21 != 3'd0)
VAR4 = ((VAR4>>(32*{VAR19[2], 2'd0})) | (VAR15<<(32*VAR19[1:0])));
else
VAR4 = (VAR4>>(32*{VAR19[2], 2'd0}));
VAR17 = VAR7;
VAR18 = VAR13;
VAR1 = VAR24;
VAR11 = VAR1;
end
endmodule
|
mit
|
BilkentCompGen/GateKeeper
|
FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/pcie3_7x_0/source/pcie3_7x_0_qpll_reset.v
| 14,594 |
module MODULE1 #
(
parameter VAR40 = "VAR33", parameter VAR22 = "VAR9", parameter VAR37 = 1, parameter VAR43 = 1
)
(
input VAR3,
input VAR26,
input VAR1,
input [VAR37-1:0] VAR14,
input [(VAR37-1)>>2:0]VAR52,
input [(VAR37-1)>>2:0]VAR17,
input [ 1:0] VAR13,
input [VAR37-1:0] VAR51,
input [VAR37-1:0] VAR42,
output VAR39,
output VAR29,
output VAR46,
output VAR4,
output VAR50,
output [11:0] VAR31
);
reg VAR15;
reg [VAR37-1:0] VAR35;
reg [(VAR37-1)>>2:0]VAR19;
reg [(VAR37-1)>>2:0]VAR21;
reg [ 1:0] VAR45;
reg [VAR37-1:0] VAR41;
reg [VAR37-1:0] VAR20;
reg VAR23;
reg [VAR37-1:0] VAR25;
reg [(VAR37-1)>>2:0]VAR44;
reg [(VAR37-1)>>2:0]VAR6;
reg [ 1:0] VAR24;
reg [VAR37-1:0] VAR27;
reg [VAR37-1:0] VAR16;
reg VAR38 = 1'd0;
reg VAR8 = 1'd1;
reg VAR34 = 1'd0;
reg [11:0] fsm = 12'd2;
localparam VAR36 = 12'b000000000001;
localparam VAR12 = 12'b000000000010;
localparam VAR5 = 12'b000000000100;
localparam VAR32 = 12'b000000001000;
localparam VAR28 = 12'b000000010000;
localparam VAR2 = 12'b000000100000;
localparam VAR18 = 12'b000001000000;
localparam VAR47 = 12'b000010000000;
localparam VAR48 = 12'b000100000000;
localparam VAR49 = 12'b001000000000;
localparam VAR10 = 12'b010000000000;
localparam VAR30 = 12'b100000000000;
always @ (posedge VAR3)
begin
if (!VAR26)
begin
VAR15 <= 1'd0;
VAR35 <= {VAR37{1'd1}};
VAR19 <= {(((VAR37-1)>>2)+1){1'd0}};
VAR21 <= {(((VAR37-1)>>2)+1){1'd0}};
VAR45 <= 2'd0;
VAR41 <= {VAR37{1'd1}};
VAR20 <= {VAR37{1'd0}};
VAR23 <= 1'd0;
VAR25 <= {VAR37{1'd1}};
VAR44 <= {(((VAR37-1)>>2)+1){1'd0}};
VAR6 <= {(((VAR37-1)>>2)+1){1'd0}};
VAR24 <= 2'd0;
VAR27 <= {VAR37{1'd1}};
VAR16 <= {VAR37{1'd0}};
end
else
begin
VAR15 <= VAR1;
VAR35 <= VAR14;
VAR19 <= VAR52;
VAR21 <= VAR17;
VAR45 <= VAR13;
VAR41 <= VAR51;
VAR20 <= VAR42;
VAR23 <= VAR15;
VAR25 <= VAR35;
VAR44 <= VAR19;
VAR6 <= VAR21;
VAR24 <= VAR45;
VAR27 <= VAR41;
VAR16 <= VAR20;
end
end
always @ (posedge VAR3)
begin
if (!VAR26)
begin
fsm <= VAR12;
VAR38 <= 1'd0;
VAR8 <= 1'd1;
VAR34 <= 1'd0;
end
else
begin
case (fsm)
VAR36 :
begin
if (!VAR26)
begin
fsm <= VAR12;
VAR38 <= 1'd0;
VAR8 <= 1'd1;
VAR34 <= 1'd0;
end
else
begin
fsm <= VAR36;
VAR38 <= VAR38;
VAR8 <= &VAR27;
VAR34 <= &VAR16;
end
end
VAR12 :
begin
fsm <= ((&(~VAR25)) && (&(~VAR6)) ? VAR5 : VAR12);
VAR38 <= VAR38;
VAR8 <= VAR8;
VAR34 <= VAR34;
end
VAR5 :
begin
fsm <= ((VAR23 && (&VAR25)) ? VAR32 : VAR5);
VAR38 <= VAR38;
VAR8 <= VAR8;
VAR34 <= VAR34;
end
VAR32:
begin
fsm <= (&(~VAR44) ? VAR28 : VAR32);
VAR38 <= VAR38;
VAR8 <= VAR8;
VAR34 <= VAR34;
end
VAR28 :
begin
fsm <= (&VAR44 ? VAR2 : VAR28);
VAR38 <= VAR38;
VAR8 <= VAR8;
VAR34 <= VAR34;
end
VAR2 :
begin
fsm <= (&VAR6 ? ((VAR43 == 1) ? VAR10 : VAR18) : VAR2);
VAR38 <= VAR38;
VAR8 <= 1'd0;
VAR34 <= VAR34;
end
VAR18:
begin
fsm <= (&(~VAR44) ? VAR47 : VAR18);
VAR38 <= 1'd1;
VAR8 <= VAR8;
VAR34 <= VAR34;
end
VAR47 :
begin
if (&VAR44)
begin
fsm <= ((VAR40 == "VAR7") ? VAR48 : VAR10);
VAR38 <= VAR38;
VAR8 <= (VAR40 == "VAR7");
VAR34 <= VAR34;
end
else
begin
fsm <= VAR47;
VAR38 <= VAR38;
VAR8 <= VAR8;
VAR34 <= VAR34;
end
end
VAR48 :
begin
fsm <= (&(~VAR6) ? VAR49 : VAR48);
VAR38 <= VAR38;
VAR8 <= 1'd1;
VAR34 <= 1'd0;
end
VAR49 :
begin
fsm <= (&VAR6 ? VAR36 : VAR49);
VAR38 <= VAR38;
VAR8 <= 1'd0;
VAR34 <= 1'd0;
end
VAR10 :
begin
fsm <= VAR30;
VAR38 <= VAR38;
VAR8 <= (VAR40 == "VAR33") ? (VAR24 != 2'd2) : 1'd0;
VAR34 <= VAR34;
end
VAR30 :
begin
fsm <= VAR36;
VAR38 <= VAR38;
VAR8 <= VAR8;
VAR34 <= (VAR40 == "VAR33") ? (VAR24 != 2'd2) : 1'd0;
end
default :
begin
fsm <= VAR12;
VAR38 <= 1'd0;
VAR8 <= 1'd0;
VAR34 <= 1'd0;
end
endcase
end
end
assign VAR39 = VAR38;
assign VAR29 = (fsm == VAR32) || (fsm == VAR18);
assign VAR46 = VAR8;
assign VAR4 = ((VAR22 == "VAR11") ? 1'd0 : VAR34);
assign VAR50 = (fsm == VAR36);
assign VAR31 = fsm;
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/a21boi/sky130_fd_sc_lp__a21boi.behavioral.v
| 1,639 |
module MODULE1 (
VAR1 ,
VAR11 ,
VAR3 ,
VAR9
);
output VAR1 ;
input VAR11 ;
input VAR3 ;
input VAR9;
supply1 VAR5;
supply0 VAR8;
supply1 VAR6 ;
supply0 VAR2 ;
wire VAR13 ;
wire VAR12 ;
wire VAR10;
not VAR14 (VAR13 , VAR9 );
and VAR7 (VAR12 , VAR11, VAR3 );
nor VAR15 (VAR10, VAR13, VAR12 );
buf VAR4 (VAR1 , VAR10 );
endmodule
|
apache-2.0
|
mbus/mbus
|
layer_controller_v2/verilog/int_action_rom.v
| 9,819 |
module MODULE1 #(
parameter VAR16 = 13
)
(
output [VAR8*VAR16-1:0] VAR2,
output [(VAR19*3)*VAR16-1:0] VAR32,
output [2*VAR16-1:0] VAR25
);
wire [VAR8-1:0] VAR29 [0:VAR16-1];
wire [(VAR19*3)-1:0] VAR17 [0:VAR16-1];
wire [1:0] VAR24 [0:VAR16-1];
genvar VAR10;
generate
for (VAR10=0; VAR10<VAR16; VAR10=VAR10+1)
begin: VAR31
assign VAR2[VAR8*(VAR10+1)-1:VAR8*VAR10] = VAR29[VAR10];
assign VAR32[(VAR19*3)*(VAR10+1)-1:(VAR19*3)*VAR10] = VAR17[VAR10];
assign VAR25[2*(VAR10+1)-1:2*VAR10] = VAR24[VAR10];
end
endgenerate
localparam VAR30 = 3;
localparam VAR4 = 3;
localparam VAR5 = 5;
wire [7:0] VAR27 [0:VAR30-1];
wire [7:0] VAR9 [0:VAR30-1];
wire [7:0] VAR28[0:VAR30-1];
wire [7:0] VAR6[0:VAR30-1];
wire [7:0] VAR18 [0:5];
wire [23:0] VAR22 [0:5];
wire [29:0] VAR26 [0:VAR5-1];
wire [7:0] VAR23 [0:VAR5-1];
wire [19:0] VAR11 [0:VAR5-1];
wire [29:0] VAR15 [0:VAR5-1];
generate
for (VAR10=0; VAR10<VAR30; VAR10=VAR10+1)
begin: VAR14
assign VAR17[VAR10] = (((VAR27[VAR10]<<24 | VAR9[VAR10]<<16 | VAR28[VAR10]<<8 | VAR6[VAR10])<<VAR19*2) | {(VAR19*2){1'b0}});
assign VAR24[VAR10] = 2'b01;
assign VAR29[VAR10] = VAR13;
end
endgenerate
assign VAR27[0] = 8'h0; assign VAR9[0] = 8'h0; assign VAR28[0] = {4'd4, VAR3};assign VAR6[0] = 8'h0;
assign VAR27[1] = 8'h2; assign VAR9[1] = 8'h4; assign VAR28[1] = {4'd4, | VAR3};assign VAR6[1] = 8'h2;
assign VAR27[2] = 8'h0; assign VAR9[2] = 8'd9; assign VAR28[2] = {4'd4, VAR12, 2'b00};assign VAR6[2] = 8'd100;
generate
for (VAR10=0; VAR10<VAR4; VAR10=VAR10+1)
begin: VAR7
assign VAR29[VAR30+VAR10] = VAR3;
end
endgenerate
assign VAR18[0] = 8'b0; assign VAR22[0] = 24'habcdef; assign VAR24[VAR30] = 2'b01; assign VAR17[VAR30] = (((VAR18[0]<<24 | VAR22[0])<<(VAR19*2)) | {(VAR19*2){1'b0}});
assign VAR18[1] = 8'd1; assign VAR22[1] = 24'h123456; assign VAR18[2] = 8'd3; assign VAR22[2] = 24'h987654; assign VAR24[VAR30+1] = 2'b10; assign VAR17[VAR30+1] = (((VAR18[1]<<24 | VAR22[1])<<(VAR19*2)) | ((VAR18[2]<<24 | VAR22[2])<<VAR19) | {(VAR19){1'b0}});
assign VAR18[3] = 8'd2; assign VAR22[3] = 24'h123321; assign VAR18[4] = 8'd4; assign VAR22[4] = 24'habccba; assign VAR18[5] = 8'd6; assign VAR22[5] = 24'h090785; assign VAR24[VAR30+2] = 2'b11; assign VAR17[VAR30+2] = ((VAR18[3]<<24 | VAR22[3])<<(VAR19*2)) | ((VAR18[4]<<24 | VAR22[4])<<VAR19) | (VAR18[5]<<24 | VAR22[5]);
generate
for (VAR10=0; VAR10<VAR5; VAR10=VAR10+1)
begin: VAR21
assign VAR17[VAR30 + VAR4 + VAR10] = ((((VAR23[VAR10]<<24) | (4'b0<<20) | VAR11[VAR10])<<(VAR19*2)) | ((VAR26[VAR10]<<2) | 2'b0)<<VAR19) | ((VAR15[VAR10]<<2) | 2'b0);
assign VAR29[VAR30 + VAR4 + VAR10] = VAR20;
end
endgenerate
assign VAR26[0] = 30'h0; assign VAR23[0] = {4'd4, VAR1}; assign VAR11[0] = 20'd0; assign VAR15[0] = 30'd1; assign VAR24[VAR30+VAR4] = 2'b11;
assign VAR26[1] = 30'h1; assign VAR23[1] = {4'd4, VAR1}; assign VAR11[1] = 20'd4; assign VAR15[1] = 30'd2; assign VAR24[VAR30+VAR4+1] = 2'b11;
assign VAR26[2] = 30'd100; assign VAR23[2] = {4'd3, VAR3}; assign VAR11[2] = 20'd0; assign VAR15[2] = 30'd0; assign VAR24[VAR30+VAR4+2] = 2'b10;
assign VAR26[3] = 30'd101; assign VAR23[3] = {4'd3, VAR3}; assign VAR11[3] = 20'd3; assign VAR15[3] = 30'd0; assign VAR24[VAR30+VAR4+3] = 2'b10;
assign VAR26[4] = 30'd0; assign VAR23[4] = {4'd4, VAR12, 2'b01}; assign VAR11[4] = 20'd9; assign VAR15[4] = 30'd0; assign VAR24[VAR30+VAR4+4] = 2'b10;
assign VAR24[VAR30 + VAR4 + VAR5] = 2'b00; assign VAR29[VAR30 + VAR4 + VAR5] = 4'b0; assign VAR17[VAR30 + VAR4 + VAR5] = 96'b0;
assign VAR24[VAR30 + VAR4 + VAR5 + 1] = 2'b01;
assign VAR29[VAR30 + VAR4 + VAR5 + 1] = 4'b1100; assign VAR17[VAR30 + VAR4 + VAR5 + 1] = 96'b0;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/sdfrtp/sky130_fd_sc_hdll__sdfrtp_2.v
| 2,599 |
module MODULE1 (
VAR5 ,
VAR10 ,
VAR1 ,
VAR2 ,
VAR6 ,
VAR3,
VAR11 ,
VAR9 ,
VAR7 ,
VAR4
);
output VAR5 ;
input VAR10 ;
input VAR1 ;
input VAR2 ;
input VAR6 ;
input VAR3;
input VAR11 ;
input VAR9 ;
input VAR7 ;
input VAR4 ;
VAR8 VAR12 (
.VAR5(VAR5),
.VAR10(VAR10),
.VAR1(VAR1),
.VAR2(VAR2),
.VAR6(VAR6),
.VAR3(VAR3),
.VAR11(VAR11),
.VAR9(VAR9),
.VAR7(VAR7),
.VAR4(VAR4)
);
endmodule
module MODULE1 (
VAR5 ,
VAR10 ,
VAR1 ,
VAR2 ,
VAR6 ,
VAR3
);
output VAR5 ;
input VAR10 ;
input VAR1 ;
input VAR2 ;
input VAR6 ;
input VAR3;
supply1 VAR11;
supply0 VAR9;
supply1 VAR7 ;
supply0 VAR4 ;
VAR8 VAR12 (
.VAR5(VAR5),
.VAR10(VAR10),
.VAR1(VAR1),
.VAR2(VAR2),
.VAR6(VAR6),
.VAR3(VAR3)
);
endmodule
|
apache-2.0
|
cheehieu/qm-fir-digital-filter-core
|
ISAAC/qmfir_documentation/v/firdecim_m5_n20.v
| 10,900 |
module MODULE1
(
VAR24, VAR8,
VAR23, VAR10, VAR2, VAR26
);
output reg signed [31:0] VAR24 ;
output reg VAR8 ;
input VAR23 ; input VAR10 ;
input VAR2 ;
input signed [15:0] VAR26 ;
reg [4:0] VAR21 ;
reg [2:0] VAR13 ; reg signed [15:0] VAR22 ;
reg VAR9 ;
reg signed [31:0] VAR25 ;
reg signed [31:0] VAR18 ; reg signed [31:0] VAR6 ; reg signed [31:0] VAR4 ; reg signed [31:0] VAR15 ; reg signed [15:0] VAR3 ;
wire valid ;
wire VAR19 ;
wire VAR29 ;
wire VAR30 ;
wire VAR28 ;
wire [4:0] VAR31;
always @ (posedge VAR23 or posedge VAR10)
if (VAR10) VAR9 <= 1'b0 ;
else VAR9 <= VAR2 ;
always @ (posedge VAR23 or posedge VAR10)
if (VAR10) VAR22[15:0] <= {(16){1'b0}} ;
else VAR22[15:0] <= VAR26[15:0];
always @ (posedge VAR23 or posedge VAR10)
if (VAR10)
begin
VAR3[15:0] <= 16'b0;
end
else
begin
case (VAR31[4:0])
5'b00000: VAR3[15:0] <= 16'VAR7;
5'b00001: VAR3[15:0] <= 16'VAR16;
5'b00010: VAR3[15:0] <= 16'VAR14;
5'b00011: VAR3[15:0] <= 16'VAR20;
5'b00100: VAR3[15:0] <= 16'VAR11;
5'b00101: VAR3[15:0] <= 16'VAR17;
5'b00110: VAR3[15:0] <= 16'VAR5;
5'b00111: VAR3[15:0] <= 16'VAR27;
5'b01000: VAR3[15:0] <= 16'VAR12;
5'b01001: VAR3[15:0] <= 16'VAR1;
5'b01010: VAR3[15:0] <= 16'VAR1;
5'b01011: VAR3[15:0] <= 16'VAR12;
5'b01100: VAR3[15:0] <= 16'VAR27;
5'b01101: VAR3[15:0] <= 16'VAR5;
5'b01110: VAR3[15:0] <= 16'VAR17;
5'b01111: VAR3[15:0] <= 16'VAR11;
5'b10000: VAR3[15:0] <= 16'VAR20;
5'b10001: VAR3[15:0] <= 16'VAR14;
5'b10010: VAR3[15:0] <= 16'VAR16;
5'b10011: VAR3[15:0] <= 16'VAR7;
default: VAR3[15:0] <= 16'VAR7;
endcase
end
always @ (posedge VAR23 or posedge VAR10)
if (VAR10)
VAR13[2:0] <= {(3){1'b0}} ;
else
VAR13[2:0] <= (VAR2 & ~VAR9) ?
0:
(VAR13 == 5) ? (5)
: VAR13[2:0] + 1 ;
always @ (posedge VAR23 or posedge VAR10)
if (VAR10)
VAR21[4:0] <= {(5){1'b0}} ;
else if (VAR2 & ~VAR9)
VAR21[4:0] <= (VAR21[4:0] == 19) ? 0
: VAR21[4:0] + 1 ;
assign VAR31[4:0] = VAR21[4:0] == 0 ?
5*VAR13[2:0] - 1 :
( VAR21[4:0] + 5*VAR13[2:0] - 1) > 19 ?
VAR21[4:0] + 5*VAR13[2:0] - 21 :
VAR21[4:0] + 5*VAR13[2:0] - 1;
always @ (posedge VAR23 or posedge VAR10)
if (VAR10) VAR25[31:0] <= {(32){1'b0}} ;
else VAR25[31:0] <= VAR3* VAR22 ;
always @ (posedge VAR23 or posedge VAR10)
if (VAR10)
VAR18[31:0] <= {(32){1'b0}} ;
else if (VAR13[2:0] == 2)
VAR18[31:0] <= (VAR21 == 1) ? VAR25
: VAR25[31:0] + VAR18[31:0] ;
always @ (posedge VAR23 or posedge VAR10)
if (VAR10)
VAR6[31:0] <= {(32){1'b0}} ;
else if (VAR13[2:0] == 3)
VAR6[31:0] <= (VAR21 == 16) ? VAR25
: VAR25[31:0] + VAR6[31:0] ;
always @ (posedge VAR23 or posedge VAR10)
if (VAR10)
VAR4[31:0] <= {(32){1'b0}} ;
else if (VAR13[2:0] == 4)
VAR4[31:0] <= (VAR21 == 11) ? VAR25
: VAR25[31:0] + VAR4[31:0] ;
always @ (posedge VAR23 or posedge VAR10)
if (VAR10)
VAR15[31:0] <= {(32){1'b0}} ;
else if (VAR13[2:0] == 0)
VAR15[31:0] <= (VAR21 == 7) ? VAR25
: VAR25[31:0] + VAR15[31:0] ;
assign VAR19 = (VAR21[4:0] == 1) & (VAR13 == 1) ;
assign VAR29 = (VAR21[4:0] == 16) & (VAR13 == 1) ;
assign VAR30 = (VAR21[4:0] == 11) & (VAR13 == 1) ;
assign VAR28 = (VAR21[4:0] == 6) & (VAR13 == 1) ;
assign valid = VAR19 | VAR29 | VAR30 | VAR28 ;
always @ (posedge VAR23 or posedge VAR10)
if (VAR10)
VAR24[31:0] <= {(32){1'b0}} ;
else if (valid)
VAR24[31:0] <= (VAR18[31:0] & {(32){ VAR19 }}) |
(VAR6[31:0] & {(32){ VAR29 }}) |
(VAR4[31:0] & {(32){ VAR30 }}) |
(VAR15[31:0] & {(32){ VAR28 }}) ;
always @ (posedge VAR23 or posedge VAR10)
if (VAR10) VAR8 <= 1'b0 ;
else VAR8 <= valid;
endmodule MODULE1
|
gpl-2.0
|
queq/just-stuff
|
pov/TopFixed/Control_Character.v
| 1,640 |
module MODULE1(
input VAR1,
input VAR6,
input VAR5,
input VAR4,
output VAR9,
output [6:0]VAR20
);
wire VAR27;
wire VAR24;
wire VAR7;
wire VAR25;
wire VAR28;
wire [7:0]VAR2;
wire [7:0]VAR15;
wire [7:0]VAR13;
wire [6:0]VAR23;
VAR26 VAR22(.VAR1(VAR1), .VAR6(VAR6), .VAR27(VAR27), .VAR28(VAR28), .VAR7(VAR7), .VAR25(VAR25), .VAR24(VAR24));
VAR19 VAR10(.VAR1(VAR1), .VAR6(VAR6), .VAR7(VAR7), .VAR8(VAR13), .VAR2(VAR2), .VAR15(VAR15));
VAR3 VAR18(.VAR1(VAR1), .VAR6(VAR6), .VAR24(VAR24), .VAR15(VAR15), .VAR23(VAR23), .VAR28(VAR28), .VAR2(VAR2));
VAR21 VAR14(.VAR1(VAR1), .VAR6(VAR6), .VAR25(VAR25), .VAR23(VAR23), .VAR9(VAR9), .VAR20(VAR20));
VAR11 VAR11(.VAR17(VAR1), .VAR6(VAR6), .VAR16(VAR5), .VAR12(VAR4), .VAR27(VAR27), .VAR13(VAR13));
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/a311o/sky130_fd_sc_hd__a311o.functional.v
| 1,471 |
module MODULE1 (
VAR8 ,
VAR9,
VAR6,
VAR3,
VAR1,
VAR11
);
output VAR8 ;
input VAR9;
input VAR6;
input VAR3;
input VAR1;
input VAR11;
wire VAR5 ;
wire VAR7;
and VAR10 (VAR5 , VAR3, VAR9, VAR6 );
or VAR4 (VAR7, VAR5, VAR11, VAR1);
buf VAR2 (VAR8 , VAR7 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/lpflow_lsbuf_lh_isowell/sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell.symbol.v
| 1,519 |
module MODULE1 (
input VAR5,
output VAR7
);
wire VAR3;
supply1 VAR2 ;
supply0 VAR4 ;
supply1 VAR1 ;
supply0 VAR6 ;
endmodule
|
apache-2.0
|
MarkBlanco/FPGA_Sandbox
|
RecComp/Lab1/embedded_lab_2/embedded_lab_2.srcs/sources_1/bd/zynq_design_1/ip/zynq_design_1_system_ila_0/bd_0/ip/ip_10/synth/bd_350b_slot_2_ar_0.v
| 4,561 |
module MODULE1 (
VAR24,
VAR21,
dout
);
input wire [0 : 0] VAR24;
input wire [0 : 0] VAR21;
output wire [1 : 0] dout;
VAR29 #(
.VAR33(1),
.VAR36(1),
.VAR19(1),
.VAR10(1),
.VAR54(1),
.VAR46(1),
.VAR48(1),
.VAR49(1),
.VAR58(1),
.VAR11(1),
.VAR8(1),
.VAR35(1),
.VAR43(1),
.VAR66(1),
.VAR62(1),
.VAR47(1),
.VAR12(1),
.VAR18(1),
.VAR6(1),
.VAR63(1),
.VAR67(1),
.VAR64(1),
.VAR42(1),
.VAR9(1),
.VAR57(1),
.VAR13(1),
.VAR23(1),
.VAR52(1),
.VAR55(1),
.VAR68(1),
.VAR40(1),
.VAR65(1),
.VAR61(2),
.VAR7(2)
) VAR38 (
.VAR24(VAR24),
.VAR21(VAR21),
.VAR28(1'VAR5),
.VAR34(1'VAR5),
.VAR16(1'VAR5),
.VAR60(1'VAR5),
.VAR14(1'VAR5),
.VAR22(1'VAR5),
.VAR30(1'VAR5),
.VAR53(1'VAR5),
.VAR4(1'VAR5),
.VAR20(1'VAR5),
.VAR32(1'VAR5),
.VAR41(1'VAR5),
.VAR2(1'VAR5),
.VAR45(1'VAR5),
.VAR1(1'VAR5),
.VAR69(1'VAR5),
.VAR39(1'VAR5),
.VAR31(1'VAR5),
.VAR25(1'VAR5),
.VAR27(1'VAR5),
.VAR15(1'VAR5),
.VAR26(1'VAR5),
.VAR17(1'VAR5),
.VAR44(1'VAR5),
.VAR56(1'VAR5),
.VAR59(1'VAR5),
.VAR51(1'VAR5),
.VAR50(1'VAR5),
.VAR3(1'VAR5),
.VAR37(1'VAR5),
.dout(dout)
);
endmodule
|
mit
|
cpulabs/mist1032sa
|
src/core/execute/old_execute/mul_booth32.v
| 5,332 |
module MODULE1(
input [31:0] VAR14,
input [31:0] VAR37,
output [63:0] VAR34,
output VAR29,
output VAR17,
output VAR2,
output VAR6,
output VAR12,
output VAR21,
output VAR35,
output VAR28,
output VAR23,
output VAR9);
wire [63:0] VAR19;
wire [63:0] VAR16;
wire [63:0] VAR38;
wire [63:0] VAR36;
wire [63:0] VAR33;
wire [63:0] VAR24;
wire [63:0] VAR11;
wire [63:0] VAR4;
wire [63:0] VAR5;
wire [63:0] VAR18;
wire [63:0] VAR15;
wire [63:0] VAR8;
wire [63:0] VAR25;
wire [63:0] VAR7;
wire [63:0] VAR22;
wire [63:0] VAR27;
wire [63:0] VAR20;
wire [63:0] VAR1;
assign VAR16 = VAR32(VAR14, VAR37[1], VAR37[0], 1'b0);
assign VAR38 = VAR32(VAR14, VAR37[3], VAR37[2], VAR37[1]);
assign VAR36 = VAR32(VAR14, VAR37[5], VAR37[4], VAR37[3]);
assign VAR33 = VAR32(VAR14, VAR37[7], VAR37[6], VAR37[5]);
assign VAR24 = VAR32(VAR14, VAR37[9], VAR37[8], VAR37[7]);
assign VAR11 = VAR32(VAR14, VAR37[11], VAR37[10], VAR37[9]);
assign VAR4 = VAR32(VAR14, VAR37[13], VAR37[12], VAR37[11]);
assign VAR5 = VAR32(VAR14, VAR37[15], VAR37[14], VAR37[13]);
assign VAR18 = VAR32(VAR14, VAR37[17], VAR37[16], VAR37[15]);
assign VAR15 = VAR32(VAR14, VAR37[19], VAR37[18], VAR37[17]);
assign VAR8 = VAR32(VAR14, VAR37[21], VAR37[20], VAR37[19]);
assign VAR25 = VAR32(VAR14, VAR37[23], VAR37[22], VAR37[21]);
assign VAR7 = VAR32(VAR14, VAR37[25], VAR37[24], VAR37[23]);
assign VAR22 = VAR32(VAR14, VAR37[27], VAR37[26], VAR37[25]);
assign VAR27 = VAR32(VAR14, VAR37[29], VAR37[28], VAR37[27]);
assign VAR20 = VAR32(VAR14, VAR37[31], VAR37[30], VAR37[29]);
assign VAR1 = VAR32(VAR14, 1'b0, 1'b0, VAR37[31]);
assign VAR19 = VAR16 + VAR38<<2 + VAR36<<4 + VAR33<<6 + VAR24<<8 + VAR11<<10 + VAR4<<12 + VAR5<<14 + VAR18<<16 + VAR15<<18 + VAR8<<20 + VAR25<<22 + VAR7<<24 + VAR22<<26 + VAR27<<28 + VAR20<<30 + VAR1<<32;
function [63:0] VAR32;
input [31:0] VAR3;
input VAR30;
input VAR10;
input VAR13;
reg [2:0] VAR26;
reg [2:0] VAR31;
begin
VAR26 = {VAR30, VAR10, VAR13};
case(VAR26)
3'h0 : VAR31 = 3'h0;
3'h1 : VAR31 = 3'h1;
3'h2 : VAR31 = 3'h1;
3'h3 : VAR31 = 3'h2;
3'h4 : VAR31 = {1'b1, 2'h2};
3'h5 : VAR31 = {1'b1, 2'h1};
3'h6 : VAR31 = {1'b1, 2'h1};
default : VAR31 = 3'h0;
endcase
if(VAR31[2] == 0)begin
if(VAR31[1:0] == 2'h0)begin
VAR32 = {32{1'b0}};
end
else if(VAR31[1:0] == 2'h1)begin
VAR32 = {{32{1'b0}}, VAR3};
end
else begin
VAR32 = {{32{1'b0}}, VAR3} << 1;
end
end
else begin
if(VAR31[1:0] == 2'h0)begin
VAR32 = {32{1'b0}};
end
else if(VAR31[1:0] == 2'h1)begin
VAR32 = -{{32{1'b0}}, VAR3}; end
else begin
VAR32 = -({{32{1'b0}}, VAR3} << 1); end
end
end
endfunction
assign VAR34 = VAR19;
assign VAR29 = VAR19[31];
assign VAR17 = VAR19[32] ^ VAR19[31];
assign VAR2 = VAR19[32];
assign VAR6 = VAR19[0];
assign VAR12 = (VAR19[32:0] == {33{1'b0}})? 1'b1 : 1'b0;
assign VAR21 = VAR19[32];
assign VAR35 = VAR19[63];
assign VAR28 = 1'b0;
assign VAR23 = VAR19[32];
assign VAR9 = (VAR19 == {64{1'b0}})? 1'b1 : 1'b0;
endmodule
|
bsd-2-clause
|
ssabogal/nocturnal
|
noc_dev/noc_dev.srcs/sources_1/bd/sys/ipshared/0b6b/hdl/axi_register_slice_v2_1_vl_rfs.v
| 41,990 |
module MODULE1 #
(
parameter VAR31 = 2 )
(
input wire clk, input wire [VAR31-1:0] VAR1, input wire VAR8, input wire VAR37, output wire VAR4 );
localparam integer VAR27 = 2**VAR31;
reg [VAR27-1:0] VAR38 = {VAR27{1'b0}};
always @(posedge clk)
if (VAR8)
VAR38 <= {VAR38[VAR27-2:0], VAR37};
assign VAR4 = VAR38[VAR1];
endmodule
module MODULE2 #
(
parameter VAR25 = "VAR7",
parameter VAR21 = 32,
parameter VAR26 = 32'h00000000
)
(
input wire VAR16,
input wire VAR33,
input wire [VAR21-1:0] VAR34,
input wire VAR12,
output wire VAR40,
output wire [VAR21-1:0] VAR17,
output wire VAR23,
input wire VAR32
);
generate
if (VAR26 == 32'h00000000) begin
assign VAR17 = VAR34;
assign VAR23 = VAR12;
assign VAR40 = VAR32;
end
else if (VAR26 == 32'h00000009) begin
reg [VAR21-1:0] VAR9;
wire [VAR21-1:0] VAR20;
reg VAR10;
reg VAR3;
reg VAR5;
reg VAR6;
reg VAR29;
wire VAR11;
reg VAR30;
reg VAR2 = 1'b0;
reg VAR36 = 1'b0;
reg [2:0] VAR13 = 3'b110;
reg VAR18 = 1'b0;
always @(posedge VAR16) begin
VAR18 <= VAR33;
end
assign VAR11 = VAR30 & VAR2;
always @ * begin
case (VAR13)
3'b111: begin VAR10 = 1'b1;
VAR5 = 1'b0;
VAR29 = 1'b0;
case ({VAR11, VAR32})
2'b00, 2'b01: begin VAR3 = 1'b0;
VAR6 = 1'b0;
end
2'b10: begin VAR3 = 1'b1;
VAR6 = 1'b1;
end
2'b11: begin VAR3 = 1'b1;
VAR6 = 1'b0;
end
endcase
end
3'b000: begin VAR3 = 1'b1;
VAR5 = 1'b1;
case ({VAR11, VAR32})
2'b00: begin VAR10 = 1'b1;
VAR6 = 1'b0;
VAR29 = 1'b0;
end
2'b01: begin VAR10 = 1'b1;
VAR6 = 1'b0;
VAR29 = 1'b1;
end
2'b10: begin VAR10 = 1'b0; VAR6 = 1'b1;
VAR29 = 1'b0;
end
2'b11: begin VAR10 = 1'b1;
VAR6 = 1'b1;
VAR29 = 1'b1;
end
endcase
end
3'b001: begin VAR3 = 1'b1;
VAR5 = 1'b1;
case ({VAR11, VAR32})
2'b00: begin VAR10 = 1'b0;
VAR6 = 1'b0;
VAR29 = 1'b0;
end
2'b01: begin VAR10 = 1'b1;
VAR6 = 1'b0;
VAR29 = 1'b1;
end
2'b10: begin VAR10 = 1'b0;
VAR6 = 1'b1;
VAR29 = 1'b0;
end
2'b11: begin VAR10 = 1'b0;
VAR6 = 1'b1;
VAR29 = 1'b1;
end
endcase
end
3'b010: begin VAR3 = 1'b1;
VAR10 = 1'b0;
VAR5 = 1'b1;
VAR6 = 1'b0;
if (VAR32) begin VAR29 = 1'b1;
end else begin
VAR29 = 1'b0;
end
end
default: begin VAR3 = 1'b0;
VAR10 = 1'b0;
VAR5 = 1'b0;
VAR6 = 1'b1; VAR29 = 1'b0;
end endcase
end
always @(posedge VAR16) begin
if (VAR18) begin
VAR13 <= 3'b110;
VAR36 <= 1'b0;
VAR2 <= 1'b0;
end else begin
VAR36 <= VAR10;
VAR2 <= VAR36;
if (VAR6 & ~VAR29) begin
VAR13 <= VAR13 + 1;
end else if (~VAR6 & VAR29) begin
VAR13 <= VAR13 - 1;
end
end
end
always @(posedge VAR16) begin
VAR30 <= VAR12;
VAR9 <= VAR34;
end
assign VAR40 = VAR36;
assign VAR23 = VAR3;
assign VAR17 = VAR5 ? VAR20 : VAR9;
genvar VAR39;
for (VAR39=0;VAR39<VAR21;VAR39=VAR39+1) begin : VAR35
MODULE1 #
(
.VAR31 (2)
)
VAR19
(
.clk (VAR16),
.VAR1 (VAR13[1:0]),
.VAR8 (VAR6),
.VAR37 (VAR9[VAR39]),
.VAR4 (VAR20[VAR39])
);
end
end
else if ((VAR26 == 32'h00000001) || (VAR26 == 32'h00000008)) begin
reg [VAR21-1:0] VAR22;
reg [VAR21-1:0] VAR41;
reg VAR10;
reg VAR3;
assign VAR40 = VAR10;
assign VAR23 = VAR3;
assign VAR17 = VAR22;
reg [1:0] VAR15 = 2'b00; always @(posedge VAR16) begin
if (VAR33) begin
VAR15 <= 2'b00;
end else begin
VAR15 <= {VAR15[0], ~VAR33};
end
end
always @(posedge VAR16) begin
if (~VAR15[0]) begin
VAR10 <= 1'b0;
end else begin
VAR10 <= VAR32 | ~VAR3 | (VAR10 & ~VAR12);
end
if (~VAR15[1]) begin
VAR3 <= 1'b0;
end else begin
VAR3 <= VAR12 | ~VAR10 | (VAR3 & ~VAR32);
end
if (VAR32 | ~VAR3) begin
VAR22 <= VAR10 ? VAR34 : VAR41;
end
if (VAR10) begin
VAR41 <= VAR34;
end
end
end
else if (VAR26 == 32'h00000002)
begin
reg [VAR21-1:0] VAR24;
wire VAR10; reg VAR3;
assign VAR40 = VAR10;
assign VAR23 = VAR3;
reg VAR15 = 1'b0; always @(posedge VAR16) begin
if (VAR33) begin
VAR15 <= 1'b0;
end else begin
VAR15 <= ~VAR33;
end
end
always @(posedge VAR16)
begin
if (VAR12 & VAR10)
VAR24 <= VAR34;
end
assign VAR17 = VAR24;
always @(posedge VAR16)
begin
if (~VAR15)
VAR3 <= 1'b0;
end
else
if (VAR12) VAR3 <= 1'b1;
end
else
if (VAR32) VAR3 <= 1'b0;
end
assign VAR10 = (VAR32 | ~VAR3) & VAR15;
end else if (VAR26 == 32'h00000003)
begin
reg [VAR21-1:0] VAR24;
reg VAR10; reg VAR28;
reg VAR14;
reg [1:0] VAR15 = 2'b00; always @(posedge VAR16) begin
if (VAR33) begin
VAR15 <= 2'b00;
end else begin
VAR15 <= {VAR15[0], ~VAR33};
end
end
always @(posedge VAR16)
begin
if (VAR12 & VAR10)
VAR24 <= VAR34;
end
assign VAR17 = VAR14?VAR24:VAR34;
always @ *
begin
if (VAR12 & VAR10 & ~VAR32)
VAR28 = 1'b1;
end
else if ( (VAR14 == 1) && (VAR32 == 1) && ( (VAR12 == 0) || (VAR10 == 0)))
VAR28 = 1'b0;
end
else
VAR28 = VAR14;
end
always @(posedge VAR16)
begin
if (~VAR15[0])
VAR14 <= 1'b0;
end
else
VAR14 <= VAR28;
end
always @(posedge VAR16)
begin
if (~VAR15[0])
VAR10 <= 1'b0;
end
else
VAR10 <= VAR32 | ~VAR28;
end
assign VAR40 = VAR10;
assign VAR23 = (VAR12 | VAR14) & VAR15[1];
end
else if ((VAR26 == 32'h00000004) || (VAR26 == 32'h00000005))
begin
end
begin
begin
begin
begin
begin
end
begin
begin
end
|
mit
|
joshtm00/Verificaci-n-de-Circuitos-Digitales
|
LIFO_FIFO/Fifo_Lifo.v
| 2,001 |
module MODULE1(
input VAR12, input VAR8, input VAR17, input VAR28, input VAR19, input [15:0] VAR3 , output [15:0] VAR16, output VAR11, output VAR7 );
parameter VAR26 = 0 ; parameter VAR24 = (1 << VAR9) ; parameter VAR1 = 8 ; parameter VAR9 = 3 ;
reg [VAR9-1:0] VAR5;
reg [VAR9-1:0] VAR18;
reg [VAR9 :0] VAR27;
reg [VAR1-1:0] VAR10 ;
wire [VAR1-1:0] VAR13 ;
VAR4 #(
.VAR6(VAR1),
.VAR29(VAR9),
.VAR14 (VAR24)
) VAR21(
.VAR20(VAR13) ,
.VAR22(VAR16) ,
.VAR23(VAR5) ,
.VAR2(VAR18) ,
.VAR15(VAR28) ,
.VAR25(VAR19) ,
.clk(VAR8)
);
endmodule
|
gpl-3.0
|
tugrulyatagan/RISC-processor
|
xilinx_processor/branch.v
| 1,235 |
module MODULE1(
input VAR8,
input [3:0] VAR6,
input VAR4,
input VAR7,
input VAR3,
input VAR1,
output reg VAR2
);
reg VAR5;
always @(*) begin
case (VAR6)
4'h0: VAR5 <= VAR4;
4'h1: VAR5 <= ~VAR4;
4'h2: VAR5 <= VAR1;
4'h3: VAR5 <= ~VAR1;
4'h4: VAR5 <= VAR7;
4'h5: VAR5 <= ~VAR7;
4'h6: VAR5 <= VAR3;
4'h7: VAR5 <= ~VAR3;
4'h8: VAR5 <= VAR1 & ~VAR4;
4'h9: VAR5 <= VAR4 | ~VAR1;
4'ha: VAR5 <= ~(VAR7 ^ VAR3);
4'hb: VAR5 <= VAR7 ^ VAR3;
4'hc: VAR5 <= (VAR7 & ~VAR4 & VAR3 ) | (~VAR7 & ~VAR4 & ~VAR3);
4'hd: VAR5 <= (VAR7 ^ VAR3) & VAR4;
4'hf: VAR5 <= 1;
endcase
VAR2 <= VAR5 & VAR8;
end
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/a311o/sky130_fd_sc_hs__a311o.functional.pp.v
| 1,965 |
module MODULE1 (
VAR13,
VAR16,
VAR5 ,
VAR6 ,
VAR15 ,
VAR12 ,
VAR1 ,
VAR4
);
input VAR13;
input VAR16;
output VAR5 ;
input VAR6 ;
input VAR15 ;
input VAR12 ;
input VAR1 ;
input VAR4 ;
wire VAR1 VAR9 ;
wire VAR2 ;
wire VAR7;
and VAR10 (VAR9 , VAR12, VAR6, VAR15 );
or VAR14 (VAR2 , VAR9, VAR4, VAR1 );
VAR8 VAR3 (VAR7, VAR2, VAR13, VAR16);
buf VAR11 (VAR5 , VAR7 );
endmodule
|
apache-2.0
|
cospan/prometheus_fpga
|
sandbox/fx3_bus.v
| 7,590 |
module MODULE1 # (
parameter VAR29 = 8
)(
input clk,
input rst,
inout [31:0] VAR91,
output VAR69,
output VAR46,
output VAR45,
output VAR21,
input VAR101,
input VAR84,
input VAR98,
input VAR95,
output [1:0] VAR73,
input VAR42,
output [7:0] VAR2,
output [7:0] VAR32,
output [31:0] VAR81,
output [31:0] VAR99,
output VAR54,
input [7:0] VAR37,
input [31:0] VAR48,
input VAR63,
input [31:0] VAR75,
output VAR30,
input VAR100,
output [23:0] VAR68,
output [31:0] VAR12,
input VAR14,
output [1:0] VAR28,
input [1:0] VAR7,
output [23:0] VAR60,
input [31:0] VAR56,
input VAR47
);
wire VAR87;
wire VAR39;
wire VAR90;
wire VAR40;
wire [31:0] VAR65;
wire [31:0] VAR26;
wire VAR66;
wire [23:0] VAR18;
wire VAR50;
wire VAR35;
wire VAR16;
wire VAR23;
wire VAR43;
wire VAR88;
wire VAR67;
wire VAR5;
wire VAR15;
wire VAR38;
wire VAR64;
VAR34 VAR76(
.clk (clk ),
.rst (rst ),
.VAR85 (VAR18 ),
.VAR49 (VAR50 ),
.VAR20 (VAR87 ),
.VAR36 (VAR39 ),
.VAR31 (VAR66 ),
.VAR71 (VAR35 ),
.VAR52 (VAR16 ),
.VAR58 (VAR23 )
);
VAR9 VAR82(
.clk (clk ),
.rst (rst ),
.VAR77 (VAR50 ),
.VAR41 (VAR43 ),
.VAR94 (VAR88 ),
.VAR57 (VAR67 ),
.VAR80 (VAR65 ),
.VAR1 (VAR66 ),
.VAR2 (VAR2 ),
.VAR32 (VAR32 ),
.VAR81 (VAR81 ),
.VAR99 (VAR99 ),
.VAR54 (VAR54 ),
.VAR102 (VAR30 ),
.VAR17 (VAR100 ),
.VAR86 (VAR68 ),
.VAR78 (VAR12 ),
.VAR53 (VAR14 )
);
VAR51 VAR72(
.clk (clk ),
.rst (rst ),
.VAR59 (VAR5 ),
.VAR92 (VAR15 ),
.VAR44 (VAR38 ),
.VAR33 (VAR64 ),
.VAR97 (VAR6 ),
.VAR4 (VAR61 ),
.VAR85 (VAR18 ),
.VAR63 (VAR63 ),
.VAR48 (VAR48 ),
.VAR3 (VAR90 ),
.VAR19 (VAR40 ),
.VAR55 (VAR26 ),
.VAR28 (VAR28 ),
.VAR7 (VAR7 ),
.VAR60 (VAR60 ),
.VAR56 (VAR56 ),
.VAR47 (VAR47 )
);
VAR13 VAR10(
.clk (clk ),
.rst (rst ),
.VAR101 (VAR101 ),
.VAR84 (VAR84 ),
.VAR98 (VAR98 ),
.VAR95 (VAR95 ),
.VAR73 (VAR73 ),
.VAR62 (VAR42 ),
.VAR70 (VAR35 ),
.VAR93 (VAR16 ),
.VAR74 (VAR23 ),
.VAR89 (VAR43 ),
.VAR22 (VAR88 ),
.VAR24 (VAR67 ),
.VAR96 (VAR5 ),
.VAR8 (VAR15 ),
.VAR25 (VAR38 ),
.VAR11 (VAR64 ),
.VAR27 (VAR6 ),
.VAR79 (VAR61 )
);
assign VAR69 = !VAR87;
assign VAR45 = !VAR39;
assign VAR46 = !VAR90;
assign VAR21 = !VAR40;
assign VAR91 = (VAR87) ? 32'VAR83 : VAR26;
assign VAR65 = (VAR66) ? VAR91 : 32'h00000000;
assign VAR18 = 24'h80;
endmodule
|
gpl-3.0
|
AngelTerrones/MUSB
|
Boards/xilinx_diligent_s3e/rtl/verilog/clk_generator/clk_generator.v
| 5,425 |
module MODULE1(
input VAR29, output VAR56, output VAR48 );
localparam real VAR37 = 50.0; localparam integer VAR43 = 4; localparam integer VAR47 = 2; localparam integer VAR32 = 2;
wire clk;
wire VAR30;
wire VAR10;
wire VAR20;
wire VAR34;
wire VAR42;
wire VAR7;
VAR24 VAR9(
.VAR54 ( VAR29 ),
.VAR3 ( clk )
);
VAR8 VAR1(
.VAR54 ( VAR30 ),
.VAR3 ( VAR10 )
);
VAR8 VAR22(
.VAR54 ( VAR20 ),
.VAR3 ( VAR34 )
);
VAR8 VAR6(
.VAR54 ( VAR42 ),
.VAR3 ( VAR7 )
);
assign VAR56 = VAR7;
assign VAR48 = VAR34;
VAR51 #(
.VAR45 ( VAR32 ), .VAR23 ( VAR47 ), .VAR25 ( VAR43 ), .VAR21 ( "VAR55" ), .VAR27 ( 1000.0/VAR37 ), .VAR31 ( "VAR18" ), .VAR46 ( "1X" ), .VAR33 ( "VAR26" ), .VAR35 ( "VAR36" ), .VAR14 ( "VAR12" ), .VAR28 ( 0 ), .VAR52 ( "VAR55" ) )
VAR53 (
.VAR15 ( VAR30 ), .VAR41 ( ), .VAR38 ( ), .VAR13 ( ), .VAR2 ( ), .VAR19 ( ), .VAR32 ( VAR42 ), .VAR50 ( VAR20 ), .VAR16 ( ), .VAR11 ( ), .VAR49 ( ), .VAR17 ( ), .VAR4 ( VAR10 ), .VAR57 ( clk ), .VAR44 ( ), .VAR40 ( ), .VAR39 ( ), .VAR5 ( 1'b0 ) );
endmodule
|
mit
|
litex-hub/pythondata-cpu-blackparrot
|
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_rp_clk_gen_fine_delay_tuner.v
| 2,295 |
module MODULE1
( input VAR42
, input VAR10
, input VAR38
, input [1:0] VAR8
, output VAR32
, output VAR20
);
wire [1:0] VAR40;
wire [1:0] VAR43;
wire [3:0] VAR30;
wire VAR4;
VAR36 VAR1 ( .VAR24(VAR30[1]), .VAR39() );
VAR36 VAR23 ( .VAR24(VAR30[2]), .VAR39() );
VAR36 VAR6 ( .VAR24(VAR30[2]), .VAR39() );
VAR36 VAR25 ( .VAR24(VAR30[3]), .VAR39() );
VAR36 VAR45 ( .VAR24(VAR30[3]), .VAR39() );
VAR36 VAR7 ( .VAR24(VAR30[3]), .VAR39() );
VAR31 VAR11 ( .VAR24(VAR42) , .VAR39(VAR4) ); VAR15 VAR17 ( .VAR24(VAR4), .VAR39(VAR30[0]) );
VAR15 VAR29 ( .VAR24(VAR4), .VAR39(VAR30[1]) );
VAR15 VAR19 ( .VAR24(VAR4), .VAR39(VAR30[2]) );
VAR15 VAR2 ( .VAR24(VAR4), .VAR39(VAR30[3]) );
VAR28 VAR3 ( .VAR41(VAR40[0]), .VAR33(VAR8[0]), .VAR37(VAR10), .VAR39(VAR43[0]) );
VAR14 VAR26 ( .VAR18(VAR43[0]), .VAR24(VAR32), .VAR46(VAR40[0]), .VAR34(VAR38) );
VAR13 VAR21 ( .VAR41(VAR30[3]), .VAR33(VAR30[2]), .VAR35(VAR30[1]), .VAR27(VAR30[0]), .VAR44(VAR40[0]), .VAR9(VAR40[1]), .VAR39(VAR32) );
VAR14 VAR16 ( .VAR18(VAR43[1]), .VAR24(VAR32), .VAR46(VAR40[1]), .VAR34(VAR38) );
VAR28 VAR22 ( .VAR41(VAR40 [1]), .VAR33(VAR8[1]), .VAR37(VAR10), .VAR39(VAR43[1]) );
VAR12 VAR5 ( .VAR24(VAR32), .VAR39(VAR20) );
endmodule
|
bsd-3-clause
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/a211o/sky130_fd_sc_ms__a211o.pp.symbol.v
| 1,372 |
module MODULE1 (
input VAR7 ,
input VAR6 ,
input VAR5 ,
input VAR4 ,
output VAR2 ,
input VAR1 ,
input VAR9,
input VAR3,
input VAR8
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/nor4/sky130_fd_sc_hs__nor4.blackbox.v
| 1,272 |
module MODULE1 (
VAR5,
VAR4,
VAR2,
VAR6,
VAR1
);
output VAR5;
input VAR4;
input VAR2;
input VAR6;
input VAR1;
supply1 VAR3;
supply0 VAR7;
endmodule
|
apache-2.0
|
cospan/prometheus_fpga
|
rtl/slave_fifo/prometheus_fx3_partial.v
| 3,591 |
module MODULE1(
input VAR4,
input VAR6,
input VAR16,
input VAR8,
input VAR9,
output VAR14,
output VAR18,
output [31:0] VAR17
);
reg [2:0]VAR11;
reg [2:0]VAR10;
parameter [2:0] VAR1 = 3'd0;
parameter [2:0] VAR5 = 3'd1;
parameter [2:0] VAR19 = 3'd2;
parameter [2:0] VAR12 = 3'd3;
parameter [2:0] VAR13 = 3'd4;
reg [3:0] VAR3;
reg VAR20;
reg [3:0] VAR2;
reg [31:0]VAR15;
reg VAR7;
assign VAR14 = ((VAR11 == VAR19) && (VAR9 == 1'b1)) ? 1'b0 : 1'b1;
always @(posedge VAR6, negedge VAR4)begin
if(!VAR4)begin
VAR2 <= 4'd0;
end else if(VAR11 == VAR1)begin
VAR2 <= 4'd0;
end else if((VAR11 == VAR19))begin
VAR2 <= VAR2 + 1'b1;
end
end
always @(posedge VAR6, negedge VAR4)begin
if(!VAR4)begin
VAR3 <= 4'd0;
end else if(VAR11 == VAR1)begin
VAR3 <= 4'd0;
end else if(VAR11 == VAR13)begin
VAR3 <= VAR3 + 1'b1;
end
end
always@(posedge VAR6, negedge VAR4)begin
if(!VAR4)begin
VAR20 <= 1'b0;
end else if((VAR11 == VAR13) && (VAR3 == 4'b0111)) begin
VAR20 <= !VAR20;
end
end
always@begin
VAR10 = VAR11;
case(VAR11)
VAR1:begin
if((VAR16) & (VAR8 == 1'b1))begin
VAR10 = VAR5;
end else begin
VAR10 = VAR1;
end
end
VAR5 :begin
if (VAR9 == 1'b1)begin
VAR10 = VAR19;
end else begin
VAR10 = VAR5;
end
end
VAR19:begin
if((VAR9 == 1'b0) | ((VAR20 == 1'b1) & (VAR2 == 4'b1111)))begin
VAR10 = VAR12;
end else begin
VAR10 = VAR19;
end
end
VAR12:begin
VAR10 = VAR13;
end
VAR13:begin
if(VAR3 == 4'b0111)begin
VAR10 = VAR1;
end else begin
VAR10 = VAR13;
end
end
endcase
end
always @(posedge VAR6, negedge VAR4)begin
if(!VAR4)begin
VAR15 <= 32'd0;
end else if((VAR14 == 1'b0) & (VAR16)) begin
VAR15 <= VAR15 + 1;
end else if (!VAR16) begin
VAR15 <= 32'd0;
end
end
assign VAR17 = VAR15;
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/a41o/sky130_fd_sc_hd__a41o.symbol.v
| 1,381 |
module MODULE1 (
input VAR6,
input VAR7,
input VAR1,
input VAR2,
input VAR8,
output VAR10
);
supply1 VAR5;
supply0 VAR4;
supply1 VAR3 ;
supply0 VAR9 ;
endmodule
|
apache-2.0
|
OpticalMeasurementsSystems/2DImageProcessing
|
2d_image_processing.srcs/sources_1/bd/image_processing_2d_design/ip/image_processing_2d_design_auto_pc_2/synth/image_processing_2d_design_auto_pc_2.v
| 12,869 |
module MODULE1 (
VAR22,
VAR105,
VAR54,
VAR112,
VAR85,
VAR82,
VAR20,
VAR21,
VAR9,
VAR79,
VAR98,
VAR72,
VAR40,
VAR101,
VAR92,
VAR91,
VAR10,
VAR73,
VAR90,
VAR64,
VAR70,
VAR16,
VAR63,
VAR95,
VAR6,
VAR39,
VAR44,
VAR61,
VAR99,
VAR18,
VAR87,
VAR31,
VAR58,
VAR12,
VAR51,
VAR81,
VAR8,
VAR26,
VAR48,
VAR17,
VAR111,
VAR13,
VAR33,
VAR69,
VAR83,
VAR25,
VAR62,
VAR78,
VAR34,
VAR59,
VAR36,
VAR84,
VAR4,
VAR66,
VAR80,
VAR30
);
input wire VAR22;
input wire VAR105;
input wire [31 : 0] VAR54;
input wire [7 : 0] VAR112;
input wire [2 : 0] VAR85;
input wire [1 : 0] VAR82;
input wire [0 : 0] VAR20;
input wire [3 : 0] VAR21;
input wire [2 : 0] VAR9;
input wire [3 : 0] VAR79;
input wire [3 : 0] VAR98;
input wire VAR72;
output wire VAR40;
input wire [31 : 0] VAR101;
input wire [3 : 0] VAR92;
input wire VAR91;
input wire VAR10;
output wire VAR73;
output wire [1 : 0] VAR90;
output wire VAR64;
input wire VAR70;
input wire [31 : 0] VAR16;
input wire [7 : 0] VAR63;
input wire [2 : 0] VAR95;
input wire [1 : 0] VAR6;
input wire [0 : 0] VAR39;
input wire [3 : 0] VAR44;
input wire [2 : 0] VAR61;
input wire [3 : 0] VAR99;
input wire [3 : 0] VAR18;
input wire VAR87;
output wire VAR31;
output wire [31 : 0] VAR58;
output wire [1 : 0] VAR12;
output wire VAR51;
output wire VAR81;
input wire VAR8;
output wire [31 : 0] VAR26;
output wire [2 : 0] VAR48;
output wire VAR17;
input wire VAR111;
output wire [31 : 0] VAR13;
output wire [3 : 0] VAR33;
output wire VAR69;
input wire VAR83;
input wire [1 : 0] VAR25;
input wire VAR62;
output wire VAR78;
output wire [31 : 0] VAR34;
output wire [2 : 0] VAR59;
output wire VAR36;
input wire VAR84;
input wire [31 : 0] VAR4;
input wire [1 : 0] VAR66;
input wire VAR80;
output wire VAR30;
VAR28 #(
.VAR49("VAR104"),
.VAR71(2),
.VAR35(0),
.VAR19(1),
.VAR96(1),
.VAR42(32),
.VAR106(32),
.VAR27(1),
.VAR68(1),
.VAR108(0),
.VAR47(1),
.VAR100(1),
.VAR7(1),
.VAR45(1),
.VAR1(1),
.VAR55(2)
) VAR32 (
.VAR22(VAR22),
.VAR105(VAR105),
.VAR24(1'VAR77),
.VAR54(VAR54),
.VAR112(VAR112),
.VAR85(VAR85),
.VAR82(VAR82),
.VAR20(VAR20),
.VAR21(VAR21),
.VAR9(VAR9),
.VAR79(VAR79),
.VAR98(VAR98),
.VAR38(1'VAR77),
.VAR72(VAR72),
.VAR40(VAR40),
.VAR43(1'VAR77),
.VAR101(VAR101),
.VAR92(VAR92),
.VAR91(VAR91),
.VAR94(1'VAR77),
.VAR10(VAR10),
.VAR73(VAR73),
.VAR23(),
.VAR90(VAR90),
.VAR75(),
.VAR64(VAR64),
.VAR70(VAR70),
.VAR93(1'VAR77),
.VAR16(VAR16),
.VAR63(VAR63),
.VAR95(VAR95),
.VAR6(VAR6),
.VAR39(VAR39),
.VAR44(VAR44),
.VAR61(VAR61),
.VAR99(VAR99),
.VAR18(VAR18),
.VAR2(1'VAR77),
.VAR87(VAR87),
.VAR31(VAR31),
.VAR29(),
.VAR58(VAR58),
.VAR12(VAR12),
.VAR51(VAR51),
.VAR53(),
.VAR81(VAR81),
.VAR8(VAR8),
.VAR57(),
.VAR26(VAR26),
.VAR97(),
.VAR46(),
.VAR67(),
.VAR60(),
.VAR88(),
.VAR48(VAR48),
.VAR50(),
.VAR107(),
.VAR65(),
.VAR17(VAR17),
.VAR111(VAR111),
.VAR103(),
.VAR13(VAR13),
.VAR33(VAR33),
.VAR5(),
.VAR74(),
.VAR69(VAR69),
.VAR83(VAR83),
.VAR15(1'VAR77),
.VAR25(VAR25),
.VAR76(1'VAR77),
.VAR62(VAR62),
.VAR78(VAR78),
.VAR109(),
.VAR34(VAR34),
.VAR56(),
.VAR41(),
.VAR37(),
.VAR89(),
.VAR14(),
.VAR59(VAR59),
.VAR3(),
.VAR113(),
.VAR102(),
.VAR36(VAR36),
.VAR84(VAR84),
.VAR86(1'VAR77),
.VAR4(VAR4),
.VAR66(VAR66),
.VAR52(1'VAR110),
.VAR11(1'VAR77),
.VAR80(VAR80),
.VAR30(VAR30)
);
endmodule
|
gpl-2.0
|
markusC64/1541ultimate2
|
fpga/nios_dut/nios_dut/synthesis/submodules/dispatcher.v
| 18,590 |
module MODULE1 (
clk,
reset,
VAR38,
VAR14,
VAR105,
VAR28,
VAR63,
VAR90,
VAR82,
VAR104,
VAR5,
VAR16,
VAR74,
VAR89,
VAR55, VAR66,
VAR84,
VAR91,
VAR33, VAR112, VAR8,
VAR43,
VAR31,
VAR67,
VAR71,
VAR3,
VAR64,
VAR27,
VAR111,
VAR70,
VAR65,
VAR45,
VAR44,
VAR58,
VAR6,
VAR73
);
function integer VAR50;
input integer VAR54;
begin
VAR54 = VAR54-1;
for(VAR50=0; VAR54>0; VAR50=VAR50+1)
VAR54 = VAR54>>1;
end
endfunction
parameter VAR98 = 0; parameter VAR68 = 0; parameter VAR88 = 128; parameter VAR72 = 1; parameter VAR69 = 256; parameter VAR39 = 32; parameter VAR103 = 3; parameter VAR11 = 0; localparam VAR21 = 2 * VAR88;
localparam VAR86 = VAR50(VAR88);
localparam VAR4 = VAR50(VAR21);
input clk;
input reset;
input [VAR69-1:0] VAR38;
input [VAR39-1:0] VAR14;
input VAR105;
output wire VAR28;
input [VAR69-1:0] VAR63;
input VAR90;
output wire VAR82;
input [31:0] VAR104;
input [3:0] VAR5;
input VAR16;
output wire [31:0] VAR74;
input VAR89;
input [VAR103-1:0] VAR55;
output wire VAR66;
output wire [31:0] VAR84;
input VAR91;
input VAR33;
input [3:0] VAR112;
output wire VAR8;
output wire [255:0] VAR43; output wire VAR31;
input VAR67;
output wire [255:0] VAR71; output wire VAR3;
input VAR64;
input [255:0] VAR27; input VAR111;
output wire VAR70;
output wire [255:0] VAR65; output wire VAR45;
input VAR44;
input [255:0] VAR58; input VAR6;
output wire VAR73;
wire VAR60;
wire VAR40;
wire [255:0] VAR97;
wire VAR78;
wire VAR18;
wire [VAR86:0] VAR83; wire VAR53;
wire VAR110;
wire [255:0] VAR1;
wire VAR41;
wire VAR101;
wire [VAR86:0] VAR9; wire [31:0] VAR59;
wire VAR32;
wire VAR102;
wire [7:0] VAR12;
wire VAR77;
wire VAR23;
wire [15:0] VAR30;
wire [15:0] VAR95;
wire [31:0] VAR49;
wire VAR87;
reg VAR10;
wire VAR80;
wire VAR99;
wire VAR34;
wire VAR19;
wire VAR15;
wire VAR35;
wire VAR7;
wire VAR100;
wire VAR79;
wire VAR29;
wire VAR75;
wire VAR46;
wire VAR22;
wire VAR56;
wire VAR93;
wire [15:0] VAR36;
wire [7:0] VAR17;
wire VAR81;
wire [31:0] VAR13;
wire [VAR69-1:0] VAR109;
wire [VAR39-1:0] VAR106;
wire VAR92;
wire VAR48;
always @ (posedge clk or posedge reset)
begin
if (reset)
begin
VAR10 <= 1;
end
else if (((VAR80 == 1) & (VAR78 == 1) & (VAR41 == 1)) | (VAR19 == 1))
begin
VAR10 <= 1; end
else if ((VAR78 == 0) | (VAR41 == 0))
begin
VAR10 <= 0; end
end
VAR42 VAR51 (
.clk (clk),
.reset (reset),
.VAR108 (VAR109),
.write (VAR92),
.VAR2 (VAR106),
.VAR26 (VAR48),
.VAR60 (VAR60),
.VAR40 (VAR40),
.VAR97 (VAR97),
.VAR78 (VAR78),
.VAR18 (VAR18),
.VAR83 (VAR83),
.VAR53 (VAR53),
.VAR110 (VAR110),
.VAR1 (VAR1),
.VAR41 (VAR41),
.VAR101 (VAR101),
.VAR9 (VAR9),
.VAR99 (VAR99),
.VAR34 (VAR34),
.VAR19 (VAR19),
.VAR59 (VAR59),
.VAR32 (VAR32),
.VAR102 (VAR102),
.VAR12 (VAR12)
);
VAR24 VAR96 (
.clk (clk),
.reset (reset),
.VAR104 (VAR104),
.VAR16 (VAR16),
.VAR5 (VAR5),
.VAR74 (VAR74),
.VAR89 (VAR89),
.VAR55 (VAR55),
.VAR66 (VAR66),
.VAR80 (VAR80),
.VAR87 (VAR87),
.VAR77 (VAR77),
.VAR23 (VAR23),
.VAR75 (VAR75),
.VAR46 (VAR46),
.VAR22 (VAR22),
.VAR7 (VAR7),
.VAR100 (VAR100), .VAR34 (VAR34),
.VAR19 (VAR19),
.VAR15 (VAR15),
.VAR35 (VAR35),
.VAR59 (VAR59),
.VAR49 (VAR49),
.VAR36 (VAR36),
.VAR25 (VAR93),
.VAR85 (VAR56),
.VAR32 (VAR32),
.VAR12 (VAR12),
.VAR102 (VAR102),
.VAR94 (VAR17),
.VAR52 (VAR81)
);
VAR47 VAR37 (
.clk (clk),
.reset (reset),
.VAR84 (VAR84),
.VAR91 (VAR91),
.VAR33 (VAR33),
.VAR112 (VAR112),
.VAR8 (VAR8),
.VAR43 (VAR43),
.VAR31 (VAR31),
.VAR67 (VAR67),
.VAR19 (VAR19),
.VAR36 (VAR36),
.VAR56 (VAR56),
.VAR93 (VAR93),
.VAR80 (VAR80),
.VAR107 (VAR13),
.VAR94 (VAR17),
.VAR52 (VAR81),
.VAR32 (VAR32),
.VAR12 (VAR12),
.VAR102 (VAR102),
.VAR23 (VAR23)
);
assign VAR70 = 1'b1;
assign VAR73 = 1'b1;
assign VAR80 = (VAR98 == 1)? (VAR73 & VAR6) : (VAR70 & VAR111);
assign VAR99 = (VAR56 == 1) | (VAR7 == 1);
assign VAR3 = VAR53;
assign VAR110 = VAR64;
assign VAR71 = VAR1;
assign VAR45 = VAR60;
assign VAR40 = VAR44;
assign VAR65 = VAR97;
assign VAR87 = (VAR10 == 0);
assign VAR77 = (VAR78 == 1) & (VAR41 == 1);
assign VAR23 = (VAR18 == 1) | (VAR101 == 1);
assign VAR30 = 16'h0000 | VAR9; assign VAR95 = 16'h0000 | VAR83; assign VAR49 = {VAR30, VAR95};
assign VAR100 = VAR58[0] | VAR27[32];
assign VAR79 = ((VAR98 == 0)? (VAR58[1] & VAR27[33]) :
(VAR98 == 1)? VAR58[1] : VAR27[33]);
assign VAR29 = (VAR7 == 1) & ((VAR98 == 0)? ((VAR44 == 1) & (VAR64 == 1)) :
(VAR98 == 1)? (VAR44 == 1) : (VAR64 == 1));
assign VAR75 = (VAR79 == 1) | (VAR29 == 1);
assign VAR13 = VAR27[31:0];
assign VAR17 = VAR27[41:34];
assign VAR81 = VAR27[42];
assign VAR109 = (VAR11 == 0) ? VAR38 : VAR63;
assign VAR106 = (VAR11 == 0) ? VAR14 : {VAR39{1'b1}};
assign VAR92 = (VAR11 == 0) ? VAR105 : VAR90;
assign VAR28 = (VAR11 == 0) ? VAR48 : 1'b1;
assign VAR82 = (VAR11 == 0) ? 1'b0 : ~VAR48;
endmodule
|
gpl-3.0
|
mistryalok/Zedboard
|
learning/opencv_hls/xapp1167_vivado/sw/fast-corner/prj/solution1/impl/verilog/FIFO_image_filter_dmask_cols_V.v
| 2,987 |
module MODULE1 (
clk,
VAR27,
VAR1,
VAR7,
VAR9);
parameter VAR12 = 32'd12;
parameter VAR15 = 32'd2;
parameter VAR5 = 32'd3;
input clk;
input [VAR12-1:0] VAR27;
input VAR1;
input [VAR15-1:0] VAR7;
output [VAR12-1:0] VAR9;
reg[VAR12-1:0] VAR23 [0:VAR5-1];
integer VAR21;
always @ (posedge clk)
begin
if (VAR1)
begin
for (VAR21=0;VAR21<VAR5-1;VAR21=VAR21+1)
VAR23[VAR21+1] <= VAR23[VAR21];
VAR23[0] <= VAR27;
end
end
assign VAR9 = VAR23[VAR7];
endmodule
module MODULE2 (
clk,
reset,
VAR26,
VAR18,
VAR10,
VAR16,
VAR4,
VAR3,
VAR25,
VAR13);
parameter VAR17 = "VAR20";
parameter VAR12 = 32'd12;
parameter VAR15 = 32'd2;
parameter VAR5 = 32'd3;
input clk;
input reset;
output VAR26;
input VAR18;
input VAR10;
output[VAR12 - 1:0] VAR16;
output VAR4;
input VAR3;
input VAR25;
input[VAR12 - 1:0] VAR13;
wire[VAR15 - 1:0] VAR14 ;
wire[VAR12 - 1:0] VAR6, VAR2;
reg[VAR15:0] VAR24 = {(VAR15+1){1'b1}};
reg VAR22 = 0, VAR11 = 1;
assign VAR26 = VAR22;
assign VAR4 = VAR11;
assign VAR6 = VAR13;
assign VAR16 = VAR2;
always @ (posedge clk) begin
if (reset == 1'b1)
begin
VAR24 <= ~{VAR15+1{1'b0}};
VAR22 <= 1'b0;
VAR11 <= 1'b1;
end
else begin
if (((VAR10 & VAR18) == 1 & VAR22 == 1) &&
((VAR25 & VAR3) == 0 | VAR11 == 0))
begin
VAR24 <= VAR24 -1;
if (VAR24 == 0)
VAR22 <= 1'b0;
VAR11 <= 1'b1;
end
else if (((VAR10 & VAR18) == 0 | VAR22 == 0) &&
((VAR25 & VAR3) == 1 & VAR11 == 1))
begin
VAR24 <= VAR24 +1;
VAR22 <= 1'b1;
if (VAR24 == VAR5-2)
VAR11 <= 1'b0;
end
end
end
assign VAR14 = VAR24[VAR15] == 1'b0 ? VAR24[VAR15-1:0]:{VAR15{1'b0}};
assign VAR8 = (VAR25 & VAR3) & VAR11;
MODULE1
.VAR12(VAR12),
.VAR15(VAR15),
.VAR5(VAR5))
VAR19 (
.clk(clk),
.VAR27(VAR6),
.VAR1(VAR8),
.VAR7(VAR14),
.VAR9(VAR2));
endmodule
|
gpl-3.0
|
CospanDesign/nysa-sata
|
rtl/link/scrambler.v
| 12,407 |
module MODULE1 (
clk,
rst,
en,
VAR2,
din,
dout
);
input clk;
input rst;
input en;
input VAR2;
input [31:0] din;
output [31:0] dout;
parameter VAR3 = 16'hF0F6;
reg [15:0] context;
wire [31:0] VAR1;
reg [31:0] VAR4;
always @ (posedge clk) begin
if (rst) begin
context <= VAR3;
VAR4 <= 32'h0;
end
else begin
if (en) begin
context <= VAR1[31:16];
VAR4 <= VAR1;
end
end
end
assign dout = (VAR2) ? VAR4 : VAR4 ^ din;
assign VAR1[31] = context[12] ^
context[10] ^
context[7] ^
context[3] ^
context[1] ^
context[0];
assign VAR1[30] = context[15] ^
context[14] ^
context[12] ^
context[11] ^
context[9] ^
context[6] ^
context[3] ^
context[2] ^
context[0];
assign VAR1[29] = context[15] ^
context[13] ^
context[12] ^
context[11] ^
context[10] ^
context[8] ^
context[5] ^
context[3] ^
context[2] ^
context[1];
assign VAR1[28] = context[14] ^
context[12] ^
context[11] ^
context[10] ^
context[9] ^
context[7] ^
context[4] ^
context[2] ^
context[1] ^
context[0];
assign VAR1[27] = context[15] ^
context[14] ^
context[13] ^
context[12] ^
context[11] ^
context[10] ^
context[9] ^
context[8] ^
context[6] ^
context[1] ^
context[0];
assign VAR1[26] = context[15] ^
context[13] ^
context[11] ^
context[10] ^
context[9] ^
context[8] ^
context[7] ^
context[5] ^
context[3] ^
context[0];
assign VAR1[25] = context[15] ^
context[10] ^
context[9] ^
context[8] ^
context[7] ^
context[6] ^
context[4] ^
context[3] ^
context[2];
assign VAR1[24] = context[14] ^
context[9] ^
context[8] ^
context[7] ^
context[6] ^
context[5] ^
context[3] ^
context[2] ^
context[1];
assign VAR1[23] = context[13] ^
context[8] ^
context[7] ^
context[6] ^
context[5] ^
context[4] ^
context[2] ^
context[1] ^
context[0];
assign VAR1[22] = context[15] ^
context[14] ^
context[7] ^
context[6] ^
context[5] ^
context[4] ^
context[1] ^
context[0];
assign VAR1[21] = context[15] ^
context[13] ^
context[12] ^
context[6] ^
context[5] ^
context[4] ^
context[0];
assign VAR1[20] = context[15] ^
context[11] ^
context[5] ^
context[4];
assign VAR1[19] = context[14] ^
context[10] ^
context[4] ^
context[3];
assign VAR1[18] = context[13] ^
context[9] ^
context[3] ^
context[2];
assign VAR1[17] = context[12] ^
context[8] ^
context[2] ^
context[1];
assign VAR1[16] = context[11] ^
context[7] ^
context[1] ^
context[0];
assign VAR1[15] = context[15] ^
context[14] ^
context[12] ^
context[10] ^
context[6] ^
context[3] ^
context[0];
assign VAR1[14] = context[15] ^
context[13] ^
context[12] ^
context[11] ^
context[9] ^
context[5] ^
context[3] ^
context[2];
assign VAR1[13] = context[14] ^
context[12] ^
context[11] ^
context[10] ^
context[8] ^
context[4] ^
context[2] ^
context[1];
assign VAR1[12] = context[13] ^
context[11] ^
context[10] ^
context[9] ^
context[7] ^
context[3] ^
context[1] ^
context[0];
assign VAR1[11] = context[15] ^
context[14] ^
context[10] ^
context[9] ^
context[8] ^
context[6] ^
context[3] ^
context[2] ^
context[0];
assign VAR1[10] = context[15] ^
context[13] ^
context[12] ^
context[9] ^
context[8] ^
context[7] ^
context[5] ^
context[3] ^
context[2] ^
context[1];
assign VAR1[9] = context[14] ^
context[12] ^
context[11] ^
context[8] ^
context[7] ^
context[6] ^
context[4] ^
context[2] ^
context[1] ^
context[0];
assign VAR1[8] = context[15] ^
context[14] ^
context[13] ^
context[12] ^
context[11] ^
context[10] ^
context[7] ^
context[6] ^
context[5] ^
context[1] ^
context[0];
assign VAR1[7] = context[15] ^
context[13] ^
context[11] ^
context[10] ^
context[9] ^
context[6] ^
context[5] ^
context[4] ^
context[3] ^
context[0];
assign VAR1[6] = context[15] ^
context[10] ^
context[9] ^
context[8] ^
context[5] ^
context[4] ^
context[2];
assign VAR1[5] = context[14] ^
context[9] ^
context[8] ^
context[7] ^
context[4] ^
context[3] ^
context[1];
assign VAR1[4] = context[13] ^
context[8] ^
context[7] ^
context[6] ^
context[3] ^
context[2] ^
context[0];
assign VAR1[3] = context[15] ^
context[14] ^
context[7] ^
context[6] ^
context[5] ^
context[3] ^
context[2] ^
context[1];
assign VAR1[2] = context[14] ^
context[13] ^
context[6] ^
context[5] ^
context[4] ^
context[2] ^
context[1] ^
context[0];
assign VAR1[1] = context[15] ^
context[14] ^
context[13] ^
context[5] ^
context[4] ^
context[1] ^
context[0];
assign VAR1[0] = context[15] ^
context[13] ^
context[4] ^
context[0];
endmodule
|
mit
|
MegaShow/college-programming
|
Homework/Digital Circuits and Logical Design/Watch/TimeCounter.v
| 5,298 |
module MODULE1(
input clk, input VAR22,
input VAR43,
input VAR36,
input VAR18,
input VAR37,
input VAR64,
input VAR49,
input VAR60,
input VAR65, input VAR63, input VAR12, output reg [3:0] VAR14, output reg VAR20,
output reg VAR13,
output wire [7:0] VAR29, output wire [3:0] VAR61 );
reg VAR2;
reg [15:0] VAR15;
wire [7:0] VAR33, VAR27, VAR25, VAR26, VAR56, VAR11;
wire VAR41, VAR39, VAR6,
VAR53, VAR52, VAR31;
reg [1:0] VAR21;
reg [2:0] VAR38;
reg [5:0] VAR42; reg [5:0] VAR1;
reg VAR8, VAR34, VAR7;
reg [31:0] counter;
reg [3:0] VAR4;
reg [31:0] VAR50 = 32'VAR40;
VAR46 VAR3(
.VAR24(clk),
.en((VAR2 & ~VAR20) | VAR1[0]),
.VAR16(8'VAR23),
.VAR10(8'VAR59),
.VAR62(8'VAR23),
.VAR15(VAR33),
.VAR32(VAR41)
);
VAR46 VAR48(
.VAR24(clk),
.en((VAR41 & ~VAR20) | VAR1[1]),
.VAR16(8'VAR23),
.VAR10(8'VAR59),
.VAR62(8'VAR47),
.VAR15(VAR27),
.VAR32(VAR39)
);
VAR46 VAR9(
.VAR24(clk),
.en((VAR39 & ~VAR20) | VAR1[2]),
.VAR16(8'VAR23),
.VAR10(8'VAR45),
.VAR62(8'VAR58),
.VAR15(VAR25),
.VAR32(VAR6)
);
VAR46 VAR55(
.VAR24(clk),
.en((VAR6 & ~VAR20) | VAR1[3]),
.VAR16(8'VAR35),
.VAR10(8'VAR17),
.VAR62(8'VAR30),
.VAR15(VAR26),
.VAR32(VAR53)
);
VAR46 VAR28(
.VAR24(clk),
.en((VAR53 & ~VAR20) | VAR1[4]),
.VAR16(8'VAR35),
.VAR10(8'VAR44),
.VAR62(8'VAR19),
.VAR15(VAR56),
.VAR32(VAR52)
);
VAR46 VAR54(
.VAR24(clk),
.en((VAR52 & ~VAR20) | VAR1[5]),
.VAR16(8'VAR23),
.VAR10(8'VAR57),
.VAR62(8'VAR5),
.VAR15(VAR11),
.VAR32(VAR31)
);
VAR51 VAR66(.clk(clk), .VAR15(VAR15), .VAR4(VAR4), .VAR29(VAR29), .VAR61(VAR61));
|
mit
|
javierbrito29/papiGB
|
rtl/dzcpu_ucode_lut.v
| 34,682 |
module MODULE1
(
input wire[7:0] VAR12,
output reg [9:0] VAR18
);
always @ ( VAR12 )
begin
case ( VAR12 )
default:
VAR18 = 10'd278;
endcase
end
endmodule
module MODULE2
(
input wire[7:0] VAR12,
output reg [8:0] VAR18
);
always @ ( VAR12 )
begin
case ( VAR12 )
8'h7C: VAR18 = 9'd16; 8'h11: VAR18 = 9'd69; 8'h38: VAR18 = 9'd505; VAR39,VAR53, VAR64, VAR26, VAR67, VAR56, VAR49: VAR18 = 9'd509;
default:
VAR18 = 9'd0;
endcase
end
endmodule
module MODULE3
(
input wire[9:0] VAR23,
output reg [14:0] VAR15
);
always @ ( VAR23 )
begin
case ( VAR23 )
0: VAR15 = { VAR71, VAR38 , VAR20 };
1: VAR15 = { VAR13, VAR65, VAR66 };
2: VAR15 = { VAR13, VAR59, null };
3: VAR15 = { VAR43 , VAR61, VAR14 };
4: VAR15 = { VAR27 , VAR61, VAR70 };
5: VAR15 = { VAR13, VAR65, VAR66 };
6: VAR15 = { VAR13, VAR59, null };
7: VAR15 = { VAR43 , VAR61, VAR6 };
8: VAR15 = { VAR27 , VAR61, VAR19 };
9: VAR15 = { VAR43, VAR65, VAR33 };
10: VAR15 = { VAR43, VAR45, VAR20 };
11: VAR15 = { VAR13, VAR65, VAR66 };
12: VAR15 = { VAR51, VAR54, VAR33 };
13: VAR15 = { VAR13, VAR65, VAR66 };
14: VAR15 = { VAR43, VAR59, null };
15: VAR15 = { VAR13, VAR22, null };
16: VAR15 = { VAR7, bit, null };
17: VAR15 = { VAR13, VAR65, VAR66 };
18: VAR15 = { VAR43, VAR59, null };
19: VAR15 = { VAR48, VAR61, VAR46 }; 20: VAR15 = { VAR43, VAR47, VAR66 }; 21: VAR15 = { VAR43,VAR10, VAR46 }; 22: VAR15 = { VAR51, VAR37, VAR28 }; 23: VAR15 = {VAR13, VAR65, VAR66};
24: VAR15 = { VAR13, VAR59, null };
25: VAR15 = {VAR51, VAR61, VAR5 };
26: VAR15 = {VAR13, VAR65, VAR66};
27: VAR15 = { VAR13, VAR59, null };
28: VAR15 = {VAR51, VAR61, VAR20 };
29: VAR15 = {VAR43, VAR65, VAR9 };
30: VAR15 = {VAR43, VAR45, VAR20 }; 31: VAR15 = {VAR27, VAR65, VAR66 };
32: VAR15 = {VAR71, VAR58, VAR5 };
33: VAR15 = {VAR13, VAR65, VAR33 };
34: VAR15 = {VAR43, VAR45, VAR20 };
35: VAR15 = {VAR51, VAR65, VAR66 };
36: VAR15 = { VAR13, VAR65, VAR66 };
37: VAR15 = { VAR43 ,VAR75, VAR5 };
38: VAR15 = { VAR43 ,VAR61, VAR5 };
39: VAR15 = { VAR43, VAR65, VAR9 }; 40: VAR15 = { VAR43, VAR45, VAR20 };
41: VAR15 = { VAR13, VAR30, VAR5 };
42: VAR15 = { VAR51, VAR65, VAR66 };
43: VAR15 = { VAR13, VAR65, VAR66 };
44: VAR15 = { VAR13, VAR59, null };
45: VAR15 = { VAR43 , VAR61, VAR62 };
46: VAR15 = { VAR27 , VAR61, VAR42 };
47: VAR15 = { VAR71, VAR54, VAR20 };
48: VAR15 = { VAR71, VAR54, VAR5 };
49: VAR15 = { VAR13, VAR54, VAR40 };
50: VAR15 = { VAR13, VAR47, VAR33 };
51: VAR15 = { VAR43 , VAR61, VAR6 }; 52: VAR15 = { VAR13, VAR61, VAR19 }; 53: VAR15 = { VAR43, VAR65, VAR40 };
54: VAR15 = { VAR43, VAR45, VAR4 }; 55: VAR15 = { VAR43, VAR54, VAR40 };
56: VAR15 = { VAR43 , VAR45, VAR66 }; 57: VAR15 = { VAR43 , VAR37, VAR33 };
58: VAR15 = { VAR43, VAR68, VAR33 };
59: VAR15 = { VAR51 ,VAR65, VAR66 };
60: VAR15 = { VAR13, VAR65, VAR66 };
61: VAR15 = { VAR13, VAR59, null };
62: VAR15 = { VAR51 , VAR61, VAR3 };
63: VAR15 = { VAR43, VAR54, VAR40 };
64: VAR15 = { VAR43, VAR65, VAR40 };
65: VAR15 = { VAR43 ,VAR45, VAR3 };
66: VAR15 = { VAR43, VAR54, VAR40 };
67: VAR15 = { VAR43 ,VAR45, VAR5 };
68: VAR15 = { VAR27 ,VAR65,VAR66 };
69: VAR15 = { VAR7, VAR57, null };
70: VAR15 = { VAR71, VAR57, null };
71: VAR15 = { VAR43, VAR65, VAR40 };
72: VAR15 = { VAR43 ,VAR58, VAR40 };
73: VAR15 = { VAR43 ,VAR61, VAR5 };
74: VAR15 = { VAR43 ,VAR61, VAR3 };
75: VAR15 = { VAR13 ,VAR58, VAR40 };
76: VAR15 = { VAR51, VAR65, VAR66 };
77: VAR15 = { VAR43, VAR59, null };
78: VAR15 = {VAR43, VAR65, VAR33 };
79: VAR15 = {VAR43, VAR45, VAR20 };
80: VAR15 = { VAR13 ,VAR58, VAR33 };
81: VAR15 = {VAR51, VAR65, VAR66 };
82: VAR15 = { VAR27 ,VAR58, VAR33 };
83: VAR15 = { VAR27 ,VAR58, VAR34 }; 84: VAR15 = { VAR43, VAR59, null };
85: VAR15 = { VAR13, VAR65, VAR66 };
86: VAR15 = { VAR43, VAR59 , null };
87: VAR15 = { VAR50 ,VAR41, VAR1 };
88: VAR15 = { VAR27 ,VAR59, null };
89: VAR15 = { VAR27, VAR58, VAR36 };
90: VAR15 = { VAR13, VAR47, VAR20 };
91: VAR15 = { VAR43, VAR59, null };
92: VAR15 = { VAR43, VAR61, VAR46 };
93: VAR15 = { VAR71, VAR63, VAR46 }; 94: VAR15 = {VAR13, VAR65, VAR36 };
95: VAR15 = {VAR43, VAR59, null };
96: VAR15 = {VAR43, VAR61, VAR20 };
97: VAR15 = {VAR51, VAR65, VAR66 };
98: VAR15 = {VAR13, VAR47, VAR33 };
99: VAR15 = {VAR13, VAR65, VAR66 };
100: VAR15 = {VAR43, VAR61, VAR6 };
101: VAR15 = {VAR43, VAR61, VAR19 };
102: VAR15 = {VAR43, VAR65, VAR33 };
103: VAR15 = {VAR43, VAR45, VAR20 };
104: VAR15 = {VAR13, VAR65, VAR66 };
105: VAR15 = {VAR51, VAR68, VAR33 };
106: VAR15 = { VAR13, VAR65, VAR66 };
107: VAR15 = { VAR43, VAR59, null };
108: VAR15 = { VAR16, VAR61, VAR46 }; 109: VAR15 = { VAR43, VAR47, VAR66 }; 110: VAR15 = { VAR43,VAR10, VAR46 }; 111: VAR15 = { VAR51, VAR37, VAR28 }; 112: VAR15 = {VAR13, VAR65, VAR66 };
113: VAR15 = { VAR13, VAR59, null };
114: VAR15 = {VAR51, VAR61, VAR6 };
115: VAR15 = { VAR13, VAR65, VAR66 };
116: VAR15 = { VAR43, VAR59, null };
117: VAR15 = { VAR13, VAR61, VAR46 };
118: VAR15 = { VAR43, VAR47, VAR66 }; 119: VAR15 = { VAR43,VAR10, VAR46 }; 120: VAR15 = { VAR51, VAR37, VAR28 }; 121: VAR15 = {VAR13, VAR65, VAR66 };
122: VAR15 = { VAR13, VAR59, null };
123: VAR15 = {VAR51, VAR61, VAR62 };
124: VAR15 = { VAR13, VAR75, VAR5 };
125: VAR15 = { VAR43, VAR59, null };
126: VAR15 = { VAR43, VAR61, VAR5 };
127: VAR15 = { VAR43, VAR65, VAR9 };
128: VAR15 = { VAR43, VAR61, VAR20 };
129: VAR15 = { VAR43, VAR30, VAR5 };
130: VAR15 = { VAR27, VAR65, VAR66 };
131: VAR15 = { VAR43, VAR59, null };
132: VAR15 = { VAR43, VAR47, VAR20 };
133: VAR15 = { VAR50, VAR63, VAR3 };
134: VAR15 = { VAR27, VAR68, VAR20 };
135: VAR15 = { VAR71, VAR54, VAR42 };
136: VAR15 = {VAR13, VAR65, VAR66 };
137: VAR15 = { VAR13, VAR59, null };
138: VAR15 = {VAR51, VAR61, VAR42 };
139: VAR15 = {VAR43, VAR47, VAR33 };
140: VAR15 = {VAR13, VAR65, VAR66 };
141: VAR15 = {VAR13, VAR59, null };
142: VAR15 = {VAR13, VAR61, VAR6 };
143: VAR15 = {VAR43, VAR61, VAR19 };
144: VAR15 = {VAR43, VAR37, VAR33 };
145: VAR15 = {VAR51, VAR68, VAR33 };
146: VAR15 = {VAR13, VAR65, VAR66 };
147: VAR15 = { VAR13, VAR59, null };
148: VAR15 = {VAR51, VAR61, VAR19 };
149: VAR15 = {VAR43, VAR65, VAR33 };
150: VAR15 = {VAR43, VAR59, null };
151: VAR15 = {VAR43, VAR61, VAR20 };
152: VAR15 = { VAR13 ,VAR58, VAR33 };
153: VAR15 = {VAR51, VAR65, VAR66 };
154: VAR15 = {VAR13, VAR65, VAR66 };
155: VAR15 = {VAR43, VAR59, null };
156: VAR15 = {VAR43, VAR61, VAR46 };
157: VAR15 = {VAR43, VAR65, VAR33 };
158: VAR15 = {VAR43, VAR59, null };
159: VAR15 = {VAR43, VAR45, VAR46 };
160: VAR15 = {VAR27, VAR65, VAR66 };
161: VAR15 = {VAR71, VAR58, VAR3 };
162: VAR15 = { VAR27, VAR59, null };
163: VAR15 = { VAR27, VAR72, null }; 164: VAR15 = { VAR50, VAR58, VAR42 };
165: VAR15 = { VAR27, VAR59, null };
166: VAR15 = { VAR50, VAR54, VAR62 };
167: VAR15 = { VAR27, VAR59, null };
168: VAR15 = { VAR27, VAR54, VAR36 };
169: VAR15 = { VAR27, VAR54, VAR34};
170: VAR15 = { VAR50, VAR54, VAR19 };
171: VAR15 = { VAR27, VAR59, null };
172: VAR15 = { VAR27, VAR54, VAR33 };
173: VAR15 = { VAR43, VAR59, null };
174: VAR15 = { VAR51, VAR37, VAR33 };
175: VAR15 = { VAR43, VAR47, VAR20 };
176: VAR15 = { VAR50, VAR74, VAR20 };
177: VAR15 = { VAR27, VAR68, VAR20 };
178: VAR15 = { VAR43, VAR47, VAR20 };
179: VAR15 = { VAR50, VAR74, VAR3 };
180: VAR15 = { VAR27, VAR68, VAR20 };
181: VAR15 = { VAR43, VAR47, VAR20 };
182: VAR15 = { VAR50, VAR63, VAR5 };
183: VAR15 = { VAR27, VAR68, VAR20 };
184: VAR15 = { VAR43, VAR47, VAR20 };
185: VAR15 = { VAR50, VAR74, VAR5 };
186: VAR15 = { VAR27, VAR68, VAR20 };
187: VAR15 = { VAR43, VAR47, VAR20 };
188: VAR15 = { VAR50, VAR74, VAR42 };
189: VAR15 = { VAR27, VAR68, VAR20 };
190: VAR15 = { VAR43, VAR47, VAR20 };
191: VAR15 = { VAR50, VAR74, VAR62 };
192: VAR15 = { VAR27, VAR68, VAR20 };
193: VAR15 = { VAR43, VAR47, VAR20 };
194: VAR15 = { VAR50, VAR74, VAR19 };
195: VAR15 = { VAR27, VAR68, VAR20 };
196: VAR15 = { VAR43, VAR47, VAR20 };
197: VAR15 = { VAR50, VAR74, VAR6 };
198: VAR15 = { VAR27, VAR68, VAR20 };
199: VAR15 = { VAR43, VAR47, VAR20 };
200: VAR15 = { VAR50, VAR63, VAR42 };
201: VAR15 = { VAR27, VAR68, VAR20 };
202: VAR15 = { VAR43, VAR47, VAR20 };
203: VAR15 = { VAR50, VAR63, VAR62 };
204: VAR15 = { VAR27, VAR68, VAR20 };
205: VAR15 = { VAR43, VAR47, VAR20 };
206: VAR15 = { VAR50, VAR63, VAR19 };
207: VAR15 = { VAR27, VAR68, VAR20 };
208: VAR15 = { VAR43, VAR47, VAR20 };
209: VAR15 = { VAR50, VAR63, VAR6 };
210: VAR15 = { VAR27, VAR68, VAR20 };
211: VAR15 = { VAR43, VAR47, VAR20 };
212: VAR15 = { VAR50, VAR63, VAR20 };
213: VAR15 = { VAR27, VAR68, VAR20 };
214: VAR15 = { VAR43, VAR54, VAR40 };
215: VAR15 = { VAR43, VAR65, VAR40 };
216: VAR15 = { VAR43 ,VAR45, VAR42 };
217: VAR15 = { VAR43, VAR54, VAR40 };
218: VAR15 = { VAR43 ,VAR45, VAR62 };
219: VAR15 = { VAR27 ,VAR65,VAR66 };
220: VAR15 = { VAR43, VAR54, VAR40 };
221: VAR15 = { VAR43, VAR65, VAR40 };
222: VAR15 = { VAR43 ,VAR45, VAR19 };
223: VAR15 = { VAR43, VAR54, VAR40 };
224: VAR15 = { VAR43 ,VAR45, VAR6 };
225: VAR15 = { VAR27 ,VAR65,VAR66 };
226: VAR15 = { VAR43, VAR65, VAR40 };
227: VAR15 = { VAR43 ,VAR58, VAR40 };
228: VAR15 = { VAR43 ,VAR61, VAR62 };
229: VAR15 = { VAR43 ,VAR61, VAR42 };
230: VAR15 = { VAR13 ,VAR58, VAR40 };
231: VAR15 = { VAR51, VAR65, VAR66 };
232: VAR15 = { VAR43, VAR65, VAR40 };
233: VAR15 = { VAR43 ,VAR58, VAR40 };
234: VAR15 = { VAR43 ,VAR61, VAR6 };
235: VAR15 = { VAR43 ,VAR61, VAR19 };
236: VAR15 = { VAR13 ,VAR58, VAR40 };
237: VAR15 = { VAR51, VAR65, VAR66 };
238: VAR15 = {VAR13, VAR65, VAR33 };
239: VAR15 = {VAR43, VAR45, VAR3 };
240: VAR15 = {VAR51, VAR65, VAR66 };
241: VAR15 = {VAR13, VAR65, VAR33 };
242: VAR15 = {VAR43, VAR45, VAR5 };
243: VAR15 = {VAR51, VAR65, VAR66 };
244: VAR15 = {VAR13, VAR65, VAR33 };
245: VAR15 = {VAR43, VAR45, VAR42 };
246: VAR15 = {VAR51, VAR65, VAR66 };
247: VAR15 = {VAR43, VAR65, VAR36 };
248: VAR15 = {VAR43, VAR45, VAR20 };
249: VAR15 = {VAR27, VAR65, VAR66 };
250: VAR15 = { VAR50, VAR58, VAR62 };
251: VAR15 = { VAR27, VAR59, null };
252: VAR15 = {VAR43 ,VAR65, VAR40 };
253: VAR15 = {VAR43, VAR47, VAR33 };
254: VAR15 = {VAR43, VAR58, VAR40 };
255: VAR15 = {VAR43, VAR61, VAR6 };
256: VAR15 = {VAR43, VAR61, VAR19 };
257: VAR15 = {VAR43, VAR37, VAR33 };
258: VAR15 = {VAR43, VAR68, VAR33 };
259: VAR15 = {VAR43, VAR58, VAR40 };
260: VAR15 = { VAR51 ,VAR65, VAR66 };
261: VAR15 = { VAR43, VAR54, VAR40 };
262: VAR15 = { VAR43, VAR65, VAR40 };
263: VAR15 = { VAR43 ,VAR45, VAR20 };
264: VAR15 = { VAR43, VAR54, VAR40 };
265: VAR15 = { VAR43 ,VAR45, VAR44 };
266: VAR15 = { VAR27 ,VAR65,VAR66 };
267: VAR15 = { VAR43, VAR65, VAR40 };
268: VAR15 = { VAR43 ,VAR58, VAR40 };
269: VAR15 = { VAR43 ,VAR61, VAR44 };
270: VAR15 = { VAR43 ,VAR61, VAR20 };
271: VAR15 = { VAR13 ,VAR58, VAR40 };
272: VAR15 = { VAR51, VAR65, VAR66 };
273: VAR15 = { VAR13, VAR65, VAR66 };
274: VAR15 = { VAR13, VAR59, null };
275: VAR15 = { VAR43 , VAR61, VAR5 };
276: VAR15 = { VAR27 , VAR61, VAR3 };
277: VAR15 = { VAR27 ,VAR58, VAR34 };
278: VAR15 = { VAR50, VAR38 , VAR20 };
279: VAR15 = { VAR27, VAR59 , null };
280: VAR15 = { VAR13, VAR47, VAR33 };
281: VAR15 = { VAR13, VAR65 , VAR66 };
282: VAR15 = { VAR43 ,VAR61, VAR6 };
283: VAR15 = { VAR43 ,VAR61, VAR19 };
284: VAR15 = { VAR43, VAR65 , VAR33 };
285: VAR15 = { VAR43, VAR59 , null }; 286: VAR15 = { VAR43 ,VAR61, VAR20 };
287: VAR15 = { VAR43, VAR68, VAR33 };
288: VAR15 = { VAR27, VAR65 , VAR66 };
289: VAR15 = { VAR13, VAR59, null };
290: VAR15 = { VAR13, VAR59, null };
291: VAR15 = { VAR43 , VAR61, VAR60 }; 292: VAR15 = { VAR48, VAR61, VAR46 }; 293: VAR15 = { VAR43, VAR54, VAR40 };
294: VAR15 = { VAR43, VAR65, VAR40 };
295: VAR15 = { VAR43, VAR45, VAR4 }; 296: VAR15 = { VAR43, VAR54, VAR40 };
297: VAR15 = { VAR43 , VAR45, VAR66 }; 298: VAR15 = { VAR43 , VAR37, VAR31 };
299: VAR15 = { VAR51 ,VAR65, VAR66 };
300: VAR15 = { VAR50, VAR54, VAR3 };
301: VAR15 = { VAR27, VAR59, null};
302: VAR15 = { VAR50, VAR58, VAR20 };
303: VAR15 = { VAR27, VAR59, null};
304: VAR15 = { VAR43, VAR58, VAR40 }; 305: VAR15 = { VAR27, VAR59, null};
306: VAR15 = { VAR27, VAR54, VAR40 };
307: VAR15 = { VAR43, VAR59, null};
308: VAR15 = { VAR50, VAR58, VAR6 };
309: VAR15 = { VAR27, VAR59, null};
310: VAR15 = { VAR50, VAR54, VAR6 };
311: VAR15 = { VAR27, VAR59, null};
312: VAR15 = { VAR50, VAR58, VAR19 };
313: VAR15 = { VAR27, VAR59, null};
314: VAR15 = { VAR13, VAR65, VAR66 };
315: VAR15 = { VAR43, VAR59, null };
316: VAR15 = { VAR43, VAR61, VAR28 };
317: VAR15 = { VAR50, VAR74, VAR20 };
318: VAR15 = { VAR27, VAR68, VAR20};
319: VAR15 = { VAR13, VAR65, VAR66 };
320: VAR15 = { VAR43, VAR47, VAR20 };
321: VAR15 = { VAR43, VAR61, VAR46 };
322: VAR15 = { VAR50, VAR63, VAR46 };
323: VAR15 = { VAR27, VAR68, VAR20};
324: VAR15 = { VAR43, VAR47, VAR20 };
325: VAR15 = { VAR50, VAR63, VAR5 };
326: VAR15 = { VAR27, VAR59, null};
327: VAR15 = { VAR43, VAR65, VAR33 };
328: VAR15 = { VAR43, VAR59, null };
329: VAR15 = { VAR43, VAR61, VAR3 };
330: VAR15 = { VAR27, VAR65, VAR66};
331: VAR15 = { VAR43, VAR65, VAR33 };
332: VAR15 = { VAR43, VAR59, null };
333: VAR15 = { VAR43, VAR61, VAR5 };
334: VAR15 = { VAR27, VAR65, VAR66};
335: VAR15 = { VAR43, VAR65, VAR33 };
336: VAR15 = { VAR43, VAR59, null };
337: VAR15 = { VAR43, VAR61, VAR42 };
338: VAR15 = { VAR27, VAR65, VAR66};
339: VAR15 = { VAR43, VAR65, VAR33 };
340: VAR15 = { VAR43, VAR59, null };
341: VAR15 = { VAR43, VAR61, VAR28 };
342: VAR15 = { VAR50, VAR8, VAR20};
343: VAR15 = { VAR43, VAR68, VAR20};
344: VAR15 = { VAR27, VAR65, VAR66};
345: VAR15 = { VAR13, VAR65, VAR66 };
346: VAR15 = { VAR43, VAR59, null };
347: VAR15 = { VAR43, VAR61, VAR28 };
348: VAR15 = { VAR50, VAR52, VAR20 };
349: VAR15 = { VAR43, VAR59, null };
350: VAR15 = { VAR27, VAR68, VAR20};
351: VAR15 = { VAR13, VAR47, VAR33 };
352: VAR15 = { VAR50, VAR10, VAR36 };
353: VAR15 = { VAR51, VAR68, VAR33 };
354: VAR15 = { VAR13, VAR59, null };
355: VAR15 = { VAR13, VAR59, null };
356: VAR15 = { VAR43 , VAR61, VAR60 }; 357: VAR15 = { VAR48, VAR61, VAR46 }; 358: VAR15 = { VAR51 , VAR37, VAR31 };
359: VAR15 = { VAR13, VAR65, VAR66 };
360: VAR15 = { VAR43, VAR47 , VAR20 };
361: VAR15 = { VAR50 ,VAR8, VAR1 };
362: VAR15 = { VAR27 ,VAR68, VAR20 };
363: VAR15 = { VAR50, VAR2, null };
364: VAR15 = { VAR27, VAR59, null };
365: VAR15 = { VAR43, VAR8, VAR28 };
366: VAR15 = { VAR43, VAR59, null };
367: VAR15 = { VAR11, VAR61, VAR28 };
368: VAR15 = {VAR43 ,VAR65, VAR40 };
369: VAR15 = {VAR43, VAR47, VAR33 };
370: VAR15 = {VAR43, VAR58, VAR40 };
371: VAR15 = {VAR43, VAR61, VAR6 };
372: VAR15 = {VAR43, VAR61, VAR19 };
373: VAR15 = {VAR43, VAR37, VAR33 };
374: VAR15 = {VAR43, VAR68, VAR33 };
375: VAR15 = {VAR43, VAR58, VAR40 };
376: VAR15 = { VAR51 ,VAR65, VAR66 };
377: VAR15 = { VAR16, VAR59, null };
378: VAR15 = {VAR43 ,VAR65, VAR40 };
379: VAR15 = {VAR43, VAR47, VAR33 };
380: VAR15 = {VAR43, VAR58, VAR40 };
381: VAR15 = {VAR43, VAR61, VAR6 };
382: VAR15 = {VAR43, VAR61, VAR19 };
383: VAR15 = {VAR43, VAR37, VAR33 };
384: VAR15 = {VAR43, VAR68, VAR33 };
385: VAR15 = {VAR43, VAR58, VAR40 };
386: VAR15 = { VAR51 ,VAR65, VAR66 };
387: VAR15 = {VAR43 ,VAR65, VAR33 };
388: VAR15 = {VAR43, VAR59, null };
389: VAR15 = {VAR50, VAR35, VAR1 };
390: VAR15 = { VAR27 ,VAR65, VAR66 };
391: VAR15 = {VAR43 ,VAR65, VAR33 };
392: VAR15 = {VAR43, VAR59, null };
393: VAR15 = {VAR43, VAR61, VAR28 };
394: VAR15 = {VAR50, VAR54, VAR28 };
395: VAR15 = {VAR59, VAR45, VAR28 };
396: VAR15 = {VAR27, VAR65, VAR66 };
397: VAR15 = {VAR43 ,VAR65, VAR33 };
398: VAR15 = {VAR43, VAR59, null };
399: VAR15 = {VAR43, VAR61, VAR6 };
400: VAR15 = {VAR27, VAR65, VAR66 };
401: VAR15 = { VAR48, VAR59, null };
402: VAR15 = {VAR43 ,VAR65, VAR40 };
403: VAR15 = {VAR43, VAR47, VAR33 };
404: VAR15 = {VAR43, VAR58, VAR40 };
405: VAR15 = {VAR43, VAR61, VAR6 };
406: VAR15 = {VAR43, VAR61, VAR19 };
407: VAR15 = {VAR43, VAR37, VAR33 };
408: VAR15 = {VAR43, VAR68, VAR33 };
409: VAR15 = {VAR43, VAR58, VAR40 };
410: VAR15 = { VAR51 ,VAR65, VAR66 };
411: VAR15 = {VAR43, VAR47, VAR33 };
412: VAR15 = {VAR50, VAR74, VAR28 };
413: VAR15 = {VAR27, VAR68, VAR33 };
414: VAR15 = { VAR13, VAR65, VAR66 };
415: VAR15 = { VAR11, VAR47, VAR66 };
416: VAR15 = { VAR43, VAR10, VAR1 };
417: VAR15 = { VAR43, VAR58, VAR28 };
418: VAR15 = { VAR43, VAR37, VAR28 }; 419: VAR15 = { VAR51, VAR59, null };
420: VAR15 = { VAR13, VAR65, VAR33 };
421: VAR15 = { VAR43, VAR59 , null };
422: VAR15 = { VAR50 ,VAR41, VAR1 };
423: VAR15 = { VAR27 ,VAR59, null };
424: VAR15 = {VAR13, VAR65, VAR33 };
425: VAR15 = {VAR43, VAR45, VAR62 };
426: VAR15 = {VAR51, VAR65, VAR66 };
427: VAR15 = {VAR13, VAR65, VAR33 };
428: VAR15 = {VAR43, VAR45, VAR19 };
429: VAR15 = {VAR51, VAR65, VAR66 };
430: VAR15 = {VAR13, VAR65, VAR33 };
431: VAR15 = {VAR43, VAR45, VAR6 };
432: VAR15 = {VAR51, VAR65, VAR66 };
433: VAR15 = {VAR13, VAR65, VAR34 };
434: VAR15 = {VAR43, VAR59, null };
435: VAR15 = {VAR43, VAR61, VAR20 };
436: VAR15 = {VAR51, VAR65, VAR66 };
437: VAR15 = {VAR13, VAR65, VAR33 };
438: VAR15 = {VAR43, VAR59, null };
439: VAR15 = {VAR43, VAR61, VAR20 };
440: VAR15 = {VAR51, VAR65, VAR66 };
441: VAR15 = {VAR13, VAR65, VAR33 };
442: VAR15 = {VAR43, VAR59, null };
443: VAR15 = {VAR43, VAR61, VAR62 };
444: VAR15 = {VAR51, VAR65, VAR66 };
445: VAR15 = {VAR13, VAR65, VAR33 };
446: VAR15 = {VAR43, VAR59, null };
447: VAR15 = {VAR43, VAR61, VAR19 };
448: VAR15 = {VAR51, VAR65, VAR66 };
449: VAR15 = { VAR43, VAR47, VAR20 };
450: VAR15 = { VAR43, VAR10, VAR69 };
451: VAR15 = { VAR50, VAR10, VAR20};
452: VAR15 = { VAR27, VAR68, VAR20 };
453: VAR15 = { VAR43, VAR47, VAR20 };
454: VAR15 = { VAR43, VAR10, VAR69 };
455: VAR15 = { VAR50, VAR10, VAR3};
456: VAR15 = { VAR27, VAR68, VAR20 };
457: VAR15 = { VAR43, VAR47, VAR20 };
458: VAR15 = { VAR43, VAR10, VAR69 };
459: VAR15 = { VAR50, VAR10, VAR5};
460: VAR15 = { VAR27, VAR68, VAR20 };
461: VAR15 = { VAR43, VAR47, VAR20 };
462: VAR15 = { VAR43, VAR10, VAR69 };
463: VAR15 = { VAR50, VAR10, VAR42};
464: VAR15 = { VAR27, VAR68, VAR20 };
465: VAR15 = { VAR43, VAR47, VAR20 };
466: VAR15 = { VAR43, VAR10, VAR69 };
467: VAR15 = { VAR50, VAR10, VAR62};
468: VAR15 = { VAR27, VAR68, VAR20 };
469: VAR15 = { VAR43, VAR47, VAR20 };
470: VAR15 = { VAR43, VAR10, VAR69 };
471: VAR15 = { VAR50, VAR10, VAR19};
472: VAR15 = { VAR27, VAR68, VAR20 };
473: VAR15 = { VAR43, VAR47, VAR20 };
474: VAR15 = { VAR43, VAR10, VAR69 };
475: VAR15 = { VAR50, VAR10, VAR6};
476: VAR15 = { VAR27, VAR68, VAR20 };
477: VAR15 = { VAR43, VAR47, VAR20 };
478: VAR15 = { VAR43, VAR63, VAR69 };
479: VAR15 = { VAR50, VAR63, VAR20};
480: VAR15 = { VAR27, VAR68, VAR20 };
481: VAR15 = { VAR43, VAR47, VAR20 };
482: VAR15 = { VAR43, VAR63, VAR69 };
483: VAR15 = { VAR50, VAR63, VAR3};
484: VAR15 = { VAR27, VAR68, VAR20 };
485: VAR15 = { VAR43, VAR47, VAR20 };
486: VAR15 = { VAR43, VAR63, VAR69 };
487: VAR15 = { VAR50, VAR63, VAR5};
488: VAR15 = { VAR27, VAR68, VAR20 };
489: VAR15 = { VAR43, VAR47, VAR20 };
490: VAR15 = { VAR43, VAR63, VAR69 };
491: VAR15 = { VAR50, VAR63, VAR42};
492: VAR15 = { VAR27, VAR68, VAR20 };
493: VAR15 = { VAR43, VAR47, VAR20 };
494: VAR15 = { VAR43, VAR63, VAR69 };
495: VAR15 = { VAR50, VAR63, VAR62};
496: VAR15 = { VAR27, VAR68, VAR20 };
497: VAR15 = { VAR43, VAR47, VAR20 };
498: VAR15 = { VAR43, VAR63, VAR69 };
499: VAR15 = { VAR50, VAR63, VAR19};
500: VAR15 = { VAR27, VAR68, VAR20 };
501: VAR15 = { VAR43, VAR47, VAR20 };
502: VAR15 = { VAR43, VAR63, VAR69 };
503: VAR15 = { VAR50, VAR63, VAR6};
504: VAR15 = { VAR27, VAR68, VAR20 };
505: VAR15 = { VAR50, VAR24, null };
506: VAR15 = { VAR51, VAR59, null };
507: VAR15 = { VAR50, VAR57, null };
508: VAR15 = { VAR51, VAR59, null };
509: VAR15 = { VAR50, VAR2, null };
510: VAR15 = { VAR51, VAR59, null };
511:
begin
VAR15 = { VAR27, VAR55, null };
end
512: VAR15 = { VAR13, VAR65, VAR66 };
513: VAR15 = { VAR43, VAR47, VAR40 };
514: VAR15 = { VAR50,VAR10, VAR1 };
515: VAR15 = { VAR27,VAR68, VAR33 };
516: VAR15 = { VAR13, VAR54, VAR40 };
517: VAR15 = { VAR43, VAR65, VAR40 };
518: VAR15 = { VAR43, VAR45, VAR4 }; 519: VAR15 = { VAR43, VAR54, VAR40 };
520: VAR15 = { VAR43 , VAR45, VAR66 }; 521: VAR15 = { VAR43, VAR8, VAR28 };
522: VAR15 = { VAR43 , VAR65, VAR17 };
523: VAR15 = { VAR43 , VAR45, VAR28 };
524: VAR15 = { VAR43 , VAR32, null };
525: VAR15 = { VAR51 , VAR65, VAR66 };
526: VAR15 = { VAR13, VAR65, VAR66 };
527: VAR15 = { VAR43 ,VAR75, VAR5 };
528: VAR15 = { VAR43 ,VAR61, VAR5 };
529: VAR15 = { VAR43, VAR65, VAR9 }; 530: VAR15 = { VAR43,VAR59, null };
531: VAR15 = { VAR43, VAR45, VAR20 };
532: VAR15 = { VAR13, VAR30, VAR5 };
533: VAR15 = { VAR51, VAR65, VAR66 };
534: VAR15 = { VAR13, VAR75, VAR5 };
535: VAR15 = { VAR43, VAR59, null };
536: VAR15 = { VAR43, VAR61, VAR5 };
537: VAR15 = { VAR43, VAR65, VAR9 };
538: VAR15 = { VAR43,VAR59, null };
539: VAR15 = { VAR43, VAR61, VAR20 };
540: VAR15 = { VAR43, VAR30, VAR5 };
541: VAR15 = { VAR27, VAR65, VAR66 };
542: VAR15 = { VAR13, VAR59, null };
543: VAR15 = { VAR13, VAR59, null };
544: VAR15 = { VAR43 , VAR61, VAR60 }; 545: VAR15 = { VAR16, VAR61, VAR46 }; 546: VAR15 = { VAR51 , VAR37, VAR31 };
547: VAR15 = { VAR43, VAR73, null };
548: VAR15 = { VAR27, VAR59, null };
549: VAR15 = { VAR29, VAR59, null };
550: VAR15 = { VAR43, VAR65, VAR40 };
551: VAR15 = { VAR43, VAR47, VAR33 };
552: VAR15 = { VAR43, VAR58, VAR40 };
553: VAR15 = { VAR43, VAR61, VAR6 };
554: VAR15 = { VAR43, VAR61, VAR19 };
555: VAR15 = { VAR43, VAR37, VAR33 };
556: VAR15 = { VAR43, VAR68, VAR33 };
557: VAR15 = { VAR43, VAR58, VAR40 };
558: VAR15 = { VAR51, VAR65, VAR66 };
559: VAR15 = { VAR13, VAR59, null };
560: VAR15 = { VAR13, VAR59, null };
561: VAR15 = { VAR43 , VAR61, VAR60 }; 562: VAR15 = { VAR29, VAR61, VAR46 }; 563: VAR15 = { VAR51 , VAR37, VAR31 };
564: VAR15 = { VAR43 , VAR65 , VAR33 }; 565: VAR15 = { VAR43 , VAR59, null }; 566: VAR15 = { VAR43 , VAR61 , VAR46 }; 567: VAR15 = { VAR43 , VAR58, VAR46 };
568: VAR15 = { VAR13 , VAR45 , VAR46 };
569: VAR15 = { VAR51 , VAR65 , VAR66 }; 570: VAR15 = { VAR43, VAR65, VAR40 }; 571: VAR15 = { VAR43, VAR47, VAR33 }; 572: VAR15 = { VAR43, VAR58, VAR40 }; 573: VAR15 = { VAR43, VAR61, VAR6 }; 574: VAR15 = { VAR43, VAR61, VAR19 }; 575: VAR15 = { VAR43, VAR37, VAR33 }; 576: VAR15 = { VAR43, VAR68, VAR33 }; 577: VAR15 = { VAR43, VAR58, VAR40 }; 578: VAR15 = { VAR43, VAR65, VAR66 }; 579: VAR15 = { VAR51, VAR55, null }; 580: VAR15 = { VAR13, VAR47, VAR33 };
581: VAR15 = { VAR50, VAR10, VAR40 };
582: VAR15 = { VAR51, VAR68, VAR33 };
583: VAR15 = { VAR13, VAR47, VAR33 };
584: VAR15 = { VAR50, VAR10, VAR34 };
585: VAR15 = { VAR51, VAR68, VAR33 };
586: VAR15 = { VAR43, VAR8, VAR28 };
587: VAR15 = { VAR43, VAR21, null };
588: VAR15 = { VAR71, VAR25, VAR20 };
589: VAR15 = {VAR13, VAR47, VAR33 };
590: VAR15 = {VAR13, VAR65, VAR66 };
591: VAR15 = {VAR43, VAR61, VAR6 };
592: VAR15 = {VAR43, VAR61, VAR19 };
593: VAR15 = {VAR43, VAR65, VAR33 };
594: VAR15 = {VAR43, VAR45, VAR14 };
595: VAR15 = {VAR43, VAR58, VAR33 };
596: VAR15 = {VAR43, VAR45, VAR70 };
597: VAR15 = {VAR13, VAR65, VAR66 };
598: VAR15 = {VAR43, VAR68, VAR33 };
599: VAR15 = {VAR43 , VAR59, null};
600: VAR15 = {VAR51, VAR59, null };
601: VAR15 = {VAR13, VAR47, VAR33 };
602: VAR15 = { VAR51, VAR68, VAR40 };
603: VAR15 = { VAR13, VAR65, VAR66 };
604: VAR15 = { VAR43, VAR59 , null };
605: VAR15 = { VAR50 ,VAR35, VAR1 };
606: VAR15 = { VAR27 ,VAR59, null };
607: VAR15 = { VAR13, VAR65, VAR66 };
608: VAR15 = { VAR43, VAR59, null };
609: VAR15 = { VAR29, VAR61, VAR46 }; 610: VAR15 = { VAR43, VAR47, VAR66 }; 611: VAR15 = { VAR43,VAR10, VAR46 }; 612: VAR15 = { VAR51, VAR37, VAR28 }; 613: VAR15 = { VAR13, VAR73, null };
614: VAR15 = { VAR43, VAR59, null };
615: VAR15 = { VAR27, VAR59, null };
616: VAR15 = { VAR43, VAR47, VAR20 };
617: VAR15 = { VAR13, VAR65, VAR66 };
618: VAR15 = { VAR43, VAR63, VAR69 };
619: VAR15 = { VAR50, VAR63, VAR1};
620: VAR15 = { VAR27, VAR68, VAR20 };
621: VAR15 = {VAR43, VAR65, VAR9 };
622: VAR15 = {VAR43, VAR59, null };
623: VAR15 = {VAR43, VAR61, VAR20 };
624: VAR15 = {VAR27, VAR65, VAR66 };
default:
VAR15 = {VAR43, VAR59, null };
endcase
end
endmodule
|
gpl-2.0
|
elegabriel/myzju
|
junior1/CA/LAB/lab1/single_cpu/alu.v
| 1,095 |
module MODULE1(VAR3,VAR4,VAR2,VAR1
);
input wire [31:0] VAR3,VAR4;
input wire [4:0] VAR2;
output reg [31:0] VAR1;
always @*
begin
case(VAR2)
5'd0: begin
VAR1=VAR3+VAR4;
end
5'd1: begin
VAR1=VAR3-VAR4;
end
5'd2: begin
VAR1=VAR3&VAR4;
end
5'd3: begin
VAR1=VAR3|VAR4;
end
5'd6: begin
VAR1=VAR4<<VAR3; end
5'd10: begin
VAR1=VAR4>>VAR3; end
5'd8: begin
VAR1=(VAR4>>VAR3)|({32{VAR4[31]}}<<(32'd32)); end
default:
begin
VAR1=0;
end
endcase
end
endmodule
|
gpl-2.0
|
sehugg/8bitworkshop
|
presets/verilog/sharedbuffer.v
| 3,961 |
module MODULE1(clk, reset, VAR30, VAR10, VAR17, VAR12,
VAR2, VAR13, VAR1, VAR8
, output [15:0] VAR5
, output VAR26
, output VAR32
, VAR29
);
input clk, reset;
input VAR17, VAR12;
output VAR30, VAR10;
wire VAR7;
wire [8:0] VAR24;
wire [8:0] VAR31;
assign VAR5 = VAR25.VAR14[VAR25.VAR5];
assign VAR26 = VAR25.VAR26;
assign VAR32 = VAR25.VAR32;
output [3:0] VAR29;
parameter VAR21 = 8'b01000000;
parameter VAR16 = 8'b01000001;
parameter VAR22 = 8'b01000010;
reg [15:0] VAR28[0:32767];
reg [15:0] VAR11[0:1023];
output wire [15:0] VAR2;
output reg [15:0] VAR13;
output wire [15:0] VAR1;
output wire VAR8;
VAR18 VAR25(.clk(clk),
.reset(reset),
.VAR9(VAR9),
.VAR27(VAR27),
.address(VAR2),
.VAR20(VAR13),
.VAR4(VAR1),
.write(VAR8));
always @(posedge clk)
if (VAR8) begin
VAR28[VAR2[14:0]] <= VAR1;
end
always @(*)
if (VAR2[15])
VAR13 = VAR11[VAR2[9:0]];
else if (&VAR2[14:8]) begin
casez (VAR2[7:0])
VAR21: VAR13 = {8'b0, VAR24[7:0]};
VAR16: VAR13 = {8'b0, VAR31[7:0]};
VAR22: VAR13 = {11'b0,
VAR10, VAR30, VAR12, VAR17, VAR7};
default: VAR13 = 0;
endcase
end else
VAR13 = VAR28[VAR2[14:0]];
VAR15 VAR19(
.clk(clk),
.reset(0),
.VAR30(VAR30),
.VAR10(VAR10),
.VAR7(VAR7),
.VAR24(VAR24),
.VAR31(VAR31)
);
reg VAR9;
wire VAR27;
reg [15:0] VAR3[0:31]; reg [4:0] VAR23;
reg [15:0] VAR6;
always @(posedge clk) begin
if (VAR27) begin
VAR3[VAR23] <= VAR28[{2'b10,VAR31[7:0],VAR23}];
if (&VAR23)
VAR9 <= 0; VAR23 <= VAR23 + 1; end else if (VAR24 >= 256 && VAR24 < 256+4 && VAR31 < 240) begin
VAR9 <= 1; end else if (!VAR24[8]) begin
if (!&VAR24[2:0]) begin
VAR6 <= VAR3[VAR23];
VAR23 <= VAR23 + 1;
end else
VAR6 <= {2'b0, VAR6[15:2]};
VAR29 <= VAR6[3:0];
end else
VAR29 <= 0;
end
|
gpl-3.0
|
liqimai/Assignment1-Calculator
|
Top/Top.v
| 4,540 |
module MODULE1(
input wire clk,
input wire [5:0] VAR24,
input wire [7:0]VAR32,
output wire [7:0]VAR35,
output wire [7:0]VAR4
);
wire[31:0] VAR30;
wire[63:0] VAR2;
wire[63:0] VAR34;
wire[63:0] VAR16;
wire[63:0] VAR11;
wire[31:0] VAR19;
wire[63:0] VAR7;
wire[63:0] VAR13;
wire[63:0] VAR25;
wire[63:0] VAR9;
wire[63:0] VAR29;
wire[63:0] VAR26;
wire[63:0] VAR10;
wire[63:0] VAR31,VAR33;
wire[7:0] VAR6;
wire VAR21,VAR3,VAR20,VAR28,VAR22;
wire VAR15,VAR8,VAR1,VAR12,VAR18;
reg VAR27;
reg VAR23;
reg[31:0] VAR14,VAR5;
reg[63:0] VAR17;
|
gpl-2.0
|
aap/pdp6
|
verilog/core32k.v
| 9,620 |
module MODULE1(
input wire clk,
input wire reset,
input wire VAR54,
input wire VAR42,
input wire VAR118,
input wire VAR136,
input wire VAR117,
input wire VAR16,
input wire VAR15,
input wire [21:35] VAR98,
input wire [18:21] VAR134,
input wire VAR147,
input wire [0:35] VAR63,
output wire VAR151,
output wire VAR11,
output wire [0:35] VAR159,
input wire VAR5,
input wire VAR88,
input wire VAR80,
input wire VAR37,
input wire [21:35] VAR10,
input wire [18:21] VAR46,
input wire VAR2,
input wire [0:35] VAR148,
output wire VAR146,
output wire VAR162,
output wire [0:35] VAR152,
input wire VAR157,
input wire VAR149,
input wire VAR112,
input wire VAR30,
input wire [21:35] VAR122,
input wire [18:21] VAR60,
input wire VAR67,
input wire [0:35] VAR17,
output wire VAR6,
output wire VAR93,
output wire [0:35] VAR24,
input wire VAR73,
input wire VAR100,
input wire VAR89,
input wire VAR62,
input wire [21:35] VAR95,
input wire [18:21] VAR19,
input wire VAR99,
input wire [0:35] VAR90,
output wire VAR170,
output wire VAR79,
output wire [0:35] VAR47,
output wire [17:0] VAR105,
output reg VAR57,
output reg VAR7,
output wire [35:0] VAR155,
input wire [35:0] VAR101,
input wire VAR129
);
parameter [3:0] VAR167 = 4'b0;
parameter [3:0] VAR123 = 4'b0;
parameter [3:0] VAR69 = 4'b0;
parameter [3:0] VAR130 = 4'b0;
wire VAR49 = 0;
reg [21:35] VAR40;
reg VAR143, VAR65;
reg [0:35] VAR171;
wire VAR166 = (VAR134[18:20] == VAR167[3:1]) &
~VAR147 &
VAR117 &
VAR150;
wire VAR121 = (VAR46[18:20] == VAR123[3:1]) &
~VAR2 &
VAR88 &
VAR150;
wire VAR137 = (VAR60[18:20] == VAR69[3:1]) &
~VAR67 &
VAR149 &
VAR150;
wire VAR22 = (VAR19[18:20] == VAR130[3:1]) &
~VAR99 &
VAR100 &
VAR150;
wire [21:35] VAR77 =
{15{VAR103}}&VAR98 |
{15{VAR38}}&VAR10 |
{15{VAR29}}&VAR122 |
{15{VAR119}}&VAR95;
wire VAR70 =
VAR103&VAR16 |
VAR38&VAR80 |
VAR29&VAR112 |
VAR119&VAR89;
wire VAR127 =
VAR103&VAR15 |
VAR38&VAR37 |
VAR29&VAR30 |
VAR119&VAR62;
wire [0:35] VAR85 =
{36{VAR103}}&VAR63 |
{36{VAR38}}&VAR148 |
{36{VAR29}}&VAR17 |
{36{VAR119}}&VAR90;
VAR164 VAR138(clk, reset, VAR140&VAR103, VAR151);
VAR164 VAR153(clk, reset, VAR140&VAR38, VAR146);
VAR164 VAR1(clk, reset, VAR140&VAR29, VAR6);
VAR164 VAR64(clk, reset, VAR140&VAR119, VAR170);
assign VAR11 = VAR116&VAR103;
assign VAR162 = VAR116&VAR38;
assign VAR93 = VAR116&VAR29;
assign VAR79 = VAR116&VAR119;
assign VAR159 = VAR108 & {36{VAR12 & VAR103}};
assign VAR152 = VAR108 & {36{VAR12 & VAR38}};
assign VAR24 = VAR108 & {36{VAR12 & VAR29}};
assign VAR47 = VAR108 & {36{VAR12 & VAR119}};
wire VAR43 = VAR136 & VAR103 |
VAR5 & VAR38 |
VAR157 & VAR29 |
VAR73 & VAR119;
wire VAR9, VAR50, VAR145;
wire VAR33, VAR126, VAR23;
VAR169 VAR28(clk, reset, VAR54, VAR9);
VAR156 VAR92(clk, reset, VAR9, VAR50, VAR33);
VAR156 VAR18(clk, reset, VAR50, VAR145, VAR126);
VAR164 VAR75(clk, reset, VAR145, VAR23);
reg VAR76, VAR35, VAR74;
reg VAR96, VAR59;
reg VAR150, VAR160, VAR31, VAR68;
reg VAR52, VAR158, VAR44, VAR55;
reg VAR114;
wire VAR103 = VAR52;
wire VAR38 = VAR158;
wire VAR29 = VAR44;
wire VAR119 = VAR55;
wire VAR139, VAR140, VAR81, VAR168, VAR3;
wire VAR142, VAR91, VAR125, VAR111;
wire VAR66, VAR144, VAR109, VAR25, VAR27;
wire VAR58, VAR124;
wire VAR107;
wire VAR87;
wire VAR51;
wire VAR71 = VAR52 | VAR158 | VAR44 | VAR55;
wire VAR120 = VAR66 & ~VAR71;
wire VAR26 = VAR66 & ~VAR49 & VAR71;
wire VAR97 = VAR140;
wire VAR83;
wire VAR132;
wire VAR36;
wire VAR12;
wire VAR116;
wire VAR53;
wire VAR135;
VAR164 VAR61(clk, reset,
(VAR166 | VAR121 | VAR137 | VAR22),
VAR139);
VAR164 VAR34(clk, reset, VAR107 | VAR23, VAR87);
VAR164 VAR41(clk, reset, VAR87 | VAR58, VAR91);
VAR164 VAR131(clk, reset, VAR87 | VAR124, VAR111);
VAR164 VAR165(clk, reset,
VAR87 | VAR3 & ~VAR65 | VAR135,
VAR51);
VAR164 VAR4(clk, reset, VAR96&VAR59, VAR140);
VAR164 VAR163(clk, reset, VAR140, VAR144);
VAR164 VAR8(clk, reset, VAR144, VAR81);
VAR164 VAR94(clk, reset,
VAR140 | VAR27&VAR143&VAR65,
VAR83);
VAR164 VAR32(clk, reset, VAR109, VAR168);
VAR164 VAR161(clk, reset, VAR25&VAR143, VAR12);
VAR164 VAR21(clk, reset, VAR12, VAR116);
VAR164 VAR104(clk, reset, VAR160&(VAR31 | ~VAR65), VAR3);
VAR164 VAR72(clk, reset, VAR31, VAR135);
VAR164 VAR86(clk, reset, VAR118, VAR107);
VAR82 VAR113(clk, reset, VAR111, VAR125);
VAR172 VAR13(clk, reset, VAR139, VAR66);
VAR82 VAR14(clk, reset, VAR81, VAR109);
VAR45 VAR20(clk, reset, VAR81, VAR132);
VAR102 VAR128(clk, reset, VAR81, VAR36);
VAR110 VAR56(clk, reset, VAR168, VAR25);
VAR78 VAR115(clk, reset, VAR168, VAR27);
VAR48 VAR106(clk, reset, VAR3, VAR142);
VAR102 VAR154(clk, reset, VAR3, VAR58);
VAR133 VAR39(clk, reset, VAR3, VAR124);
VAR48 VAR141(clk, reset, VAR43, VAR53);
reg [0:35] VAR108; wire [14:0] VAR84 = VAR40[21:35];
assign VAR105 = { 3'b0, VAR84 };
assign VAR155 = VAR171;
always @(posedge clk or posedge reset) begin
if(reset) begin
VAR7 <= 0;
VAR57 <= 0;
VAR108 <= 0;
VAR114 <= 0;
VAR31 <= 0;
VAR160 <= 0;
end else begin
if(VAR57 & ~VAR129) begin
VAR57 <= 0;
end
if(VAR7 & ~VAR129) begin
VAR7 <= 0;
VAR108 <= VAR101;
end
if(VAR51) begin
VAR52 <= 0;
VAR158 <= 0;
VAR44 <= 0;
VAR55 <= 0;
end
if(VAR166 | VAR121 | VAR137 | VAR22) begin
if(VAR166) begin
VAR52 <= 1;
VAR158 <= 0;
VAR44 <= 0;
VAR55 <= 0;
end else if(VAR121) begin
VAR52 <= 0;
VAR158 <= 1;
VAR44 <= 0;
VAR55 <= 0;
end else if(VAR137 & VAR22) begin
VAR52 <= 0;
VAR158 <= 0;
VAR44 <= VAR114;
VAR55 <= ~VAR114;
VAR114 <= ~VAR114;
end else if(VAR137) begin
VAR52 <= 0;
VAR158 <= 0;
VAR44 <= 1;
VAR55 <= 0;
end else if(VAR22) begin
VAR52 <= 0;
VAR158 <= 0;
VAR44 <= 0;
VAR55 <= 1;
end
end
if(VAR168) begin
if(VAR44)
VAR114 <= 0;
if(VAR55)
VAR114 <= 1;
end
if(VAR139 | VAR33)
VAR150 <= 0;
if(VAR91 | VAR120)
VAR150 <= 1;
if(VAR87 | VAR126)
VAR96 <= 0;
if(VAR26 | VAR139 & VAR49)
VAR96 <= 1;
if(VAR126)
VAR59 <= 0;
if(VAR125 & ~VAR68)
VAR59 <= 1;
if(VAR140) begin
VAR160 <= 0;
VAR31 <= 0;
VAR68 <= 0;
end
if(VAR36)
VAR160 <= 1;
if(VAR53)
VAR31 <= 1;
if(VAR87)
VAR68 <= 0;
if(VAR168 & VAR42)
VAR68 <= 1;
if(VAR168) begin
VAR96 <= 0;
VAR59 <= 0;
end
if(VAR97) begin
VAR40 <= VAR77;
VAR143 <= VAR70;
VAR65 <= VAR127;
end
VAR171 <= VAR171 | VAR85;
if(VAR83)
VAR171 <= 0;
if(VAR12)
VAR171 <= VAR171 | VAR108;
if(VAR126 | VAR91) begin
VAR76 <= 0;
VAR35 <= 0;
VAR74 <= 0;
end
if(VAR81) begin
VAR76 <= 1;
VAR7 <= 1;
VAR35 <= 0;
end
if(VAR132)
VAR76 <= 0;
if(VAR3)
VAR74 <= 1;
if(VAR142) begin
VAR35 <= 1;
VAR57 <= 1;
VAR76 <= 0;
end
end
end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/dfsbp/sky130_fd_sc_ms__dfsbp.blackbox.v
| 1,356 |
module MODULE1 (
VAR2 ,
VAR6 ,
VAR8 ,
VAR9 ,
VAR3
);
output VAR2 ;
output VAR6 ;
input VAR8 ;
input VAR9 ;
input VAR3;
supply1 VAR4;
supply0 VAR7;
supply1 VAR5 ;
supply0 VAR1 ;
endmodule
|
apache-2.0
|
Tao-J/nexys3MIPSSoC
|
clk_div.v
| 1,113 |
module MODULE1(
input clk,
input wire rst,
input wire VAR1,
output reg[31:0] MODULE1,
output wire VAR2
);
always @(posedge clk or posedge rst)begin
if (rst) begin
MODULE1 <= 0;
end else begin
MODULE1 <= MODULE1 + 1'b1;
end
end
assign VAR2 = clk;
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
models/udp_dlatch_psa_pp_sn/sky130_fd_sc_hs__udp_dlatch_psa_pp_sn.blackbox.v
| 1,468 |
module MODULE1 (
VAR2 ,
VAR6 ,
VAR3 ,
VAR5 ,
VAR4 ,
VAR1
);
output VAR2 ;
input VAR6 ;
input VAR3 ;
input VAR5 ;
input VAR4 ;
input VAR1;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/a31o/sky130_fd_sc_lp__a31o.behavioral.pp.v
| 2,026 |
module MODULE1 (
VAR3 ,
VAR6 ,
VAR8 ,
VAR16 ,
VAR4 ,
VAR17,
VAR5,
VAR10 ,
VAR15
);
output VAR3 ;
input VAR6 ;
input VAR8 ;
input VAR16 ;
input VAR4 ;
input VAR17;
input VAR5;
input VAR10 ;
input VAR15 ;
wire VAR12 ;
wire VAR14 ;
wire VAR2;
and VAR1 (VAR12 , VAR16, VAR6, VAR8 );
or VAR9 (VAR14 , VAR12, VAR4 );
VAR11 VAR7 (VAR2, VAR14, VAR17, VAR5);
buf VAR13 (VAR3 , VAR2 );
endmodule
|
apache-2.0
|
jimurai/okWishboneMaster
|
verilog/wb_regmap.v
| 3,744 |
module MODULE1(
VAR35, VAR33, VAR26, VAR3, VAR36, VAR27, VAR12,
VAR19, VAR24, VAR39, VAR29, VAR15, VAR32,
VAR13
);
input VAR35;
input VAR33;
input [4:0] VAR26;
input [15:0] VAR3;
output [15:0] VAR36;
input [1:0] VAR27;
input [2:0] VAR12;
input VAR19;
input VAR24;
input VAR39;
output VAR29;
output VAR15;
output VAR32;
output [15:0] VAR13;
assign VAR13 = {VAR23};
wire [4:0] VAR8;
assign VAR8 = (VAR39) ? VAR26 : 5'h1F;
wire VAR21;
assign VAR21 = ((VAR12 == 3'b000) & VAR29) | (VAR12 == 3'b111);
reg VAR29;
always @(posedge VAR35) begin
VAR29 <= (VAR24 | VAR29) & ~(VAR21 | VAR33);
end
reg VAR32;
reg VAR15;
always @(posedge VAR35) begin
if (VAR33) begin
VAR32 <= 1'b0;
VAR15 <= 1'b0;
end
end
reg [4:0] VAR5;
reg [15:0] VAR28 [31:0];
reg [15:0] VAR2;
reg [15:0] VAR7;
reg [15:0] VAR34;
always @(posedge VAR35) begin
if (~VAR19) begin
VAR34 <= VAR7;
end
end
always @(posedge VAR35) begin
if (VAR19) begin
VAR28[VAR8] <= VAR2;
end
end
always @(VAR8 or VAR3) begin
case (VAR8)
0: VAR2 <= VAR3;
1: VAR2 <= VAR3;
2: VAR2 <= VAR3;
3: VAR2 <= VAR3;
4: VAR2 <= VAR3;
5: VAR2 <= VAR3;
6: VAR2 <= VAR3;
7: VAR2 <= VAR3;
default: VAR2 <= 16'hAAAA;
endcase
end
always @(VAR8 or VAR28) begin
case (VAR8)
0: VAR7 <= VAR28[VAR8];
1: VAR7 <= VAR28[VAR8];
2: VAR7 <= VAR28[VAR8];
3: VAR7 <= VAR28[VAR8];
4: VAR7 <= VAR28[VAR8];
5: VAR7 <= VAR28[VAR8];
6: VAR7 <= VAR28[VAR8];
7: VAR7 <= VAR28[VAR8];
8: VAR7 <= VAR28[VAR8];
default: VAR7 <= 16'd12346;
endcase
end
wire VAR40;
wire VAR10;
assign VAR40 = ~(VAR12 == 3'b000) & (VAR8 == 5'h10) & VAR24 & VAR29 & ~VAR19;
assign VAR10 = ~(VAR12 == 3'b000) & (VAR8 == 5'h11) & VAR24 & VAR29 & VAR19;
assign VAR25 = (VAR12 == 3'b001) & (VAR8 == 5'h10) & VAR24;
wire [15:0] VAR9;
wire [15:0] VAR23;
reg [9:0] VAR31;
reg [9:0] VAR16;
assign VAR36 = (VAR40) ? VAR23 : VAR34;
assign VAR9 = (VAR10) ? VAR3 : 16'd0;
always @(posedge VAR35) begin
if (VAR33) begin
VAR31 <= 9'd0;
VAR16 <= 9'd0;
end else begin
if (VAR10)
VAR31 <= VAR31 + 9'd1;
end
else
VAR31 <= VAR31;
if (VAR25)
VAR16 <= VAR16 + 9'd1;
end
else
VAR16 <= VAR16;
end
end
VAR38 VAR6(
.VAR11(VAR35), .VAR30(VAR10), .VAR4(VAR31),
.VAR18(VAR9), .VAR14(),
.VAR37(VAR35), .VAR22(1'b0), .VAR1(VAR16),
.VAR17(16'b0), .VAR20(VAR23)
);
endmodule
|
mit
|
Digilent/vivado-library
|
ip/hls_saturation_enhance_1_0/hdl/verilog/hls_saturation_enbkb.v
| 4,433 |
module MODULE2
VAR26 = 32,
VAR29 = 32,
VAR13 = 32
)
(
input clk,
input reset,
input VAR19,
input [VAR26-1:0] VAR8,
input [VAR29-1:0] VAR11,
output wire [VAR13-1:0] VAR6,
output wire [VAR13-1:0] VAR2
);
localparam VAR17 = (VAR26 > VAR29)? VAR26 : VAR29;
reg [VAR26-1:0] VAR18[0:VAR26];
reg [VAR29-1:0] VAR23[0:VAR26];
reg [VAR26-1:0] VAR15[0:VAR26];
wire [VAR26-1:0] VAR24[0:VAR26-1];
wire [VAR17:0] VAR3[0:VAR26-1];
assign VAR6 = VAR18[VAR26];
assign VAR2 = VAR15[VAR26];
always @(posedge clk)
begin
if (VAR19) begin
VAR18[0] <= VAR8;
VAR23[0] <= VAR11;
VAR15[0] <= 1'b0;
end
end
genvar VAR32;
generate
for (VAR32 = 0; VAR32 < VAR26; VAR32 = VAR32 + 1)
begin : VAR10
if (VAR26 == 1) assign VAR24[VAR32] = VAR18[VAR32][0];
end
else assign VAR24[VAR32] = {VAR15[VAR32][VAR26-2:0], VAR18[VAR32][VAR26-1]};
assign VAR3[VAR32] = {1'b0, VAR24[VAR32]} - {1'b0, VAR23[VAR32]};
always @(posedge clk)
begin
if (VAR19) begin
if (VAR26 == 1) VAR18[VAR32+1] <= ~VAR3[VAR32][VAR17];
end
else VAR18[VAR32+1] <= {VAR18[VAR32][VAR26-2:0], ~VAR3[VAR32][VAR17]};
VAR23[VAR32+1] <= VAR23[VAR32];
VAR15[VAR32+1] <= VAR3[VAR32][VAR17]? VAR24[VAR32] : VAR3[VAR32][VAR26-1:0];
end
end
end
endgenerate
endmodule
module MODULE3
VAR26 = 32,
VAR29 = 32,
VAR13 = 32
)
(
input clk,
input reset,
input VAR19,
input [VAR26-1:0] VAR8,
input [VAR29-1:0] VAR11,
output reg [VAR13-1:0] VAR6,
output reg [VAR13-1:0] VAR2
);
reg [VAR26-1:0] VAR22;
reg [VAR29-1:0] VAR12;
wire [VAR26-1:0] VAR14;
wire [VAR29-1:0] VAR27;
wire [VAR13-1:0] VAR21;
wire [VAR13-1:0] VAR1;
MODULE2 #(
.VAR26 ( VAR26 ),
.VAR29 ( VAR29 ),
.VAR13 ( VAR13 )
) VAR30 (
.clk ( clk ),
.reset ( reset ),
.VAR19 ( VAR19 ),
.VAR8 ( VAR14 ),
.VAR11 ( VAR27 ),
.VAR6 ( VAR21 ),
.VAR2 ( VAR1 )
);
assign VAR14 = VAR22;
assign VAR27 = VAR12;
always @(posedge clk)
begin
if (VAR19) begin
VAR22 <= VAR8;
VAR12 <= VAR11;
end
end
always @(posedge clk)
begin
if (VAR19) begin
VAR6 <= VAR21;
VAR2 <= VAR1;
end
end
endmodule
module MODULE1(
clk,
reset,
VAR19,
VAR16,
VAR9,
dout);
parameter VAR20 = 32'd1;
parameter VAR28 = 32'd1;
parameter VAR25 = 32'd1;
parameter VAR7 = 32'd1;
parameter VAR4 = 32'd1;
input clk;
input reset;
input VAR19;
input[VAR25 - 1:0] VAR16;
input[VAR7 - 1:0] VAR9;
output[VAR4 - 1:0] dout;
wire[VAR4 - 1:0] VAR31;
MODULE3 #(
.VAR26( VAR25 ),
.VAR29( VAR7 ),
.VAR13( VAR4 ))
VAR5(
.VAR8( VAR16 ),
.VAR11( VAR9 ),
.VAR6( dout ),
.VAR2( VAR31 ),
.clk( clk ),
.VAR19( VAR19 ),
.reset( reset ));
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/o22a/sky130_fd_sc_ms__o22a.functional.pp.v
| 2,156 |
module MODULE1 (
VAR1 ,
VAR10 ,
VAR3 ,
VAR14 ,
VAR9 ,
VAR11,
VAR13,
VAR2 ,
VAR16
);
output VAR1 ;
input VAR10 ;
input VAR3 ;
input VAR14 ;
input VAR9 ;
input VAR11;
input VAR13;
input VAR2 ;
input VAR16 ;
wire VAR4 ;
wire VAR18 ;
wire VAR6 ;
wire VAR19;
or VAR5 (VAR4 , VAR3, VAR10 );
or VAR15 (VAR18 , VAR9, VAR14 );
and VAR8 (VAR6 , VAR4, VAR18 );
VAR7 VAR12 (VAR19, VAR6, VAR11, VAR13);
buf VAR17 (VAR1 , VAR19 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/and2/sky130_fd_sc_lp__and2_lp2.v
| 2,113 |
module MODULE1 (
VAR8 ,
VAR4 ,
VAR2 ,
VAR1,
VAR6,
VAR7 ,
VAR3
);
output VAR8 ;
input VAR4 ;
input VAR2 ;
input VAR1;
input VAR6;
input VAR7 ;
input VAR3 ;
VAR5 VAR9 (
.VAR8(VAR8),
.VAR4(VAR4),
.VAR2(VAR2),
.VAR1(VAR1),
.VAR6(VAR6),
.VAR7(VAR7),
.VAR3(VAR3)
);
endmodule
module MODULE1 (
VAR8,
VAR4,
VAR2
);
output VAR8;
input VAR4;
input VAR2;
supply1 VAR1;
supply0 VAR6;
supply1 VAR7 ;
supply0 VAR3 ;
VAR5 VAR9 (
.VAR8(VAR8),
.VAR4(VAR4),
.VAR2(VAR2)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/dlygate4s50/sky130_fd_sc_lp__dlygate4s50_1.v
| 2,119 |
module MODULE1 (
VAR8 ,
VAR7 ,
VAR6,
VAR5,
VAR3 ,
VAR2
);
output VAR8 ;
input VAR7 ;
input VAR6;
input VAR5;
input VAR3 ;
input VAR2 ;
VAR4 VAR1 (
.VAR8(VAR8),
.VAR7(VAR7),
.VAR6(VAR6),
.VAR5(VAR5),
.VAR3(VAR3),
.VAR2(VAR2)
);
endmodule
module MODULE1 (
VAR8,
VAR7
);
output VAR8;
input VAR7;
supply1 VAR6;
supply0 VAR5;
supply1 VAR3 ;
supply0 VAR2 ;
VAR4 VAR1 (
.VAR8(VAR8),
.VAR7(VAR7)
);
endmodule
|
apache-2.0
|
tgiv014/ECE441_Proj3
|
lfsr.v
| 1,261 |
module MODULE1(clk, VAR1, VAR4, VAR2);
input clk, VAR1;
output VAR2; output reg [7:0] VAR4;
wire VAR3;
assign VAR3 = VAR4[7]^VAR4[5]^VAR4[4]^VAR4[3]; assign VAR2 = VAR4[7];
always @ (posedge clk or negedge VAR1)
begin
if(~VAR1) begin
VAR4 <= 8'b00000001;
end
else
begin
VAR4 <= { VAR4[6:0], VAR3 };
end
end
endmodule
|
mit
|
SI-RISCV/e200_opensource
|
rtl/e203/core/e203_ifu_litebpu.v
| 5,558 |
module MODULE1(
input [VAR30-1:0] VAR28,
input VAR4,
input VAR5,
input VAR1,
input [VAR3-1:0] VAR36,
input [VAR32-1:0] VAR22,
input VAR12,
input VAR8,
input VAR10,
input VAR21,
output VAR20,
output VAR13,
output [VAR30-1:0] VAR26,
output [VAR30-1:0] VAR31,
input VAR6,
output VAR25,
input VAR29,
input [VAR3-1:0] VAR27,
input [VAR3-1:0] VAR19,
input clk,
input VAR17
);
assign VAR13 = (VAR4 | VAR5 | (VAR1 & VAR36[VAR3-1]));
wire VAR7 = (VAR22 == VAR32'd0);
wire VAR24 = (VAR22 == VAR32'd1);
wire VAR2 = (~VAR7) & (~VAR24);
wire VAR33 = VAR6 & VAR5 & VAR24 & ((~VAR12) | (VAR21));
wire VAR11 = VAR6 & VAR5 & VAR2 & ((~VAR12) | (~VAR8));
wire VAR23 = (VAR11 & VAR12 & (~VAR8)) & (VAR29 | (~VAR10));
wire VAR35;
wire VAR9 = (~VAR35) & VAR6 & VAR5 & VAR2 & ((~VAR11) | VAR23);
wire VAR18 = VAR35;
wire VAR15 = VAR9 | VAR18;
wire VAR16 = VAR9 | (~VAR18);
VAR34 #(1) VAR14(VAR15, VAR16, VAR35, clk, VAR17);
assign VAR25 = VAR9;
assign VAR20 = VAR33 | VAR11 | VAR9;
assign VAR26 = (VAR1 | VAR4) ? VAR28[VAR30-1:0]
: (VAR5 & VAR7) ? VAR30'b0
: (VAR5 & VAR24) ? VAR27[VAR30-1:0]
: VAR19[VAR30-1:0];
assign VAR31 = VAR36[VAR30-1:0];
endmodule
|
apache-2.0
|
MarcoVogt/basil
|
firmware/modules/gpac_adc_rx/gpac_adc_rx_core.v
| 6,896 |
module MODULE1
parameter VAR53 = 16,
parameter [1:0] VAR67 = 0,
parameter [0:0] VAR8 = 0
)
(
input wire VAR3,
input wire [13:0] VAR16,
input wire VAR51,
input wire VAR31,
input wire VAR61,
output wire VAR41,
output wire [31:0] VAR44,
input wire VAR58,
input wire [VAR53-1:0] VAR68,
input wire [7:0] VAR83,
output reg [7:0] VAR81,
input wire VAR46,
input wire VAR2,
input wire VAR48,
output wire VAR11
);
localparam VAR55 = 1;
wire VAR89;
assign VAR89 = (VAR68==0 && VAR2);
wire VAR77;
assign VAR77 = VAR46 | VAR89;
reg [7:0] VAR80 [15:0];
always @(posedge VAR58) begin
if(VAR77) begin
VAR80[0] <= 0;
VAR80[1] <= 0;
VAR80[2] <= 8'b00000000; VAR80[3] <= 0;
VAR80[4] <= 0;
VAR80[5] <= 0;
VAR80[6] <= 1;
VAR80[7] <= 0;
VAR80[8] <= 0;
end
else if(VAR2 && VAR68 < 16)
VAR80[VAR68[3:0]] <= VAR83;
end
wire VAR18;
assign VAR18 = (VAR68==1 && VAR2);
wire VAR60;
assign VAR60 = VAR80[2][0];
wire VAR43;
assign VAR43 = VAR80[2][1];
wire VAR13;
assign VAR13 = VAR80[2][2];
wire [23:0] VAR52;
assign VAR52 = {VAR80[5], VAR80[4], VAR80[3]};
wire [7:0] VAR33 = VAR80[6];
wire [7:0] VAR35 = VAR80[7];
reg [7:0] VAR4;
assign VAR11 = VAR4 != 0;
reg VAR90;
wire [7:0] VAR30;
assign VAR30 = VAR80[VAR68[3:0]];
always @(posedge VAR58) begin
if(VAR48) begin
if(VAR68 == 0)
VAR81 <= VAR55;
end
else if(VAR68 == 1)
VAR81 <= {7'b0, VAR90};
end
else if(VAR68 == 8)
VAR81 <= VAR4;
else if(VAR68 < 16)
VAR81 <= VAR30;
end
end
wire VAR50;
VAR20 VAR23 (.VAR14(VAR58), .VAR88(VAR77), .VAR25(VAR3), .VAR73(VAR50));
wire VAR63;
VAR78 VAR84 (.VAR14(VAR58), .VAR88(VAR18), .VAR25(VAR3), .VAR73(VAR63));
wire VAR19;
VAR9 VAR79 (.VAR14(VAR3), .in(VAR51), .out(VAR19));
reg [7:0] VAR38;
always@(posedge VAR58) begin
if(VAR77)
VAR38 <= 120;
end
else if(VAR38 != 100)
VAR38 <= VAR38 +1;
end
wire VAR29;
assign VAR29 = VAR38[7];
reg VAR37;
always@(posedge VAR3) begin
if(VAR50)
VAR37 <= 0;
end
else if(VAR63)
VAR37 <= 1;
else if (VAR19)
VAR37 <= 0;
end
wire VAR36;
assign VAR36 = (VAR60 ? (VAR37 && VAR19) : VAR63) || ( VAR43 && VAR31);
reg [23:0] VAR42;
always@(posedge VAR3) begin
if(VAR50)
VAR42 <= 0;
end
else if(VAR36 && (VAR42 > VAR52 || VAR42 == 0))
VAR42 <= 1;
else if(VAR42 != -1 && VAR42>0 && VAR52!=0 )
VAR42 <= VAR42 + 1;
end
wire VAR70;
assign VAR70 = VAR42 > VAR52;
reg VAR28;
always@ begin
VAR87 = VAR62 - VAR35;
VAR54 = VAR35 == 0 ? VAR16 : VAR39;
end
always@(posedge VAR3) begin
VAR49 <= VAR54;
VAR24 <= VAR51;
end
wire VAR56, VAR71, VAR34;
assign VAR34 = VAR28 && VAR85;
wire VAR12;
reg VAR59;
always@(posedge VAR3) begin
if(VAR50)
VAR4 <= 0;
end
else if (VAR4!=8'hff && VAR12 && VAR59)
VAR4 <= VAR4 +1;
end
reg [31:0] VAR15;
always@(*) begin
if(VAR13)
VAR15 = {VAR8, VAR67, VAR43 ? VAR42 == 1 : VAR51, 14'b0, VAR54};
end
else
VAR15 = {VAR8, VAR67, VAR24, VAR49, VAR54};
if(VAR13)
VAR59 = VAR28;
else
VAR59 = VAR34;
end
wire [31:0] VAR86;
VAR22 #(.VAR69(32), .VAR47(3)) VAR74
(
.VAR17(VAR86),
.VAR12(VAR12),
.VAR32(VAR71),
.VAR75(VAR15), .VAR65(VAR59), .VAR5(VAR3), .VAR45(VAR29),
.VAR40(!VAR56), .VAR21(VAR58), .VAR66(VAR29)
);
VAR1 #(.VAR57(32), .VAR10(1024)) VAR76
( .clk(VAR58), .reset(VAR29 | VAR46),
.write(!VAR71),
.read(VAR61),
.VAR7(VAR86),
.VAR72(VAR56),
.VAR64(VAR41),
.VAR26(VAR44[31:0]), .VAR6());
wire VAR27;
VAR78 VAR82 (.VAR14(VAR3), .VAR88(VAR70), .VAR25(VAR58), .VAR73(VAR27));
always @(posedge VAR58)
if(VAR77)
VAR90 <= 1;
else if(VAR18)
VAR90 <= 0;
else if(VAR27)
VAR90 <= 1;
endmodule
|
bsd-3-clause
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/sdfrtp/sky130_fd_sc_ls__sdfrtp_4.v
| 2,583 |
module MODULE1 (
VAR7 ,
VAR1 ,
VAR2 ,
VAR6 ,
VAR8 ,
VAR9,
VAR10 ,
VAR5 ,
VAR12 ,
VAR4
);
output VAR7 ;
input VAR1 ;
input VAR2 ;
input VAR6 ;
input VAR8 ;
input VAR9;
input VAR10 ;
input VAR5 ;
input VAR12 ;
input VAR4 ;
VAR3 VAR11 (
.VAR7(VAR7),
.VAR1(VAR1),
.VAR2(VAR2),
.VAR6(VAR6),
.VAR8(VAR8),
.VAR9(VAR9),
.VAR10(VAR10),
.VAR5(VAR5),
.VAR12(VAR12),
.VAR4(VAR4)
);
endmodule
module MODULE1 (
VAR7 ,
VAR1 ,
VAR2 ,
VAR6 ,
VAR8 ,
VAR9
);
output VAR7 ;
input VAR1 ;
input VAR2 ;
input VAR6 ;
input VAR8 ;
input VAR9;
supply1 VAR10;
supply0 VAR5;
supply1 VAR12 ;
supply0 VAR4 ;
VAR3 VAR11 (
.VAR7(VAR7),
.VAR1(VAR1),
.VAR2(VAR2),
.VAR6(VAR6),
.VAR8(VAR8),
.VAR9(VAR9)
);
endmodule
|
apache-2.0
|
kyzhai/NUNY
|
src/hardware/bg1_new_bb.v
| 5,008 |
module MODULE1 (
address,
VAR2,
VAR1);
input [14:0] address;
input VAR2;
output [11:0] VAR1;
tri1 VAR2;
endmodule
|
gpl-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
|
cells/tiel/gf180mcu_fd_sc_mcu7t5v0__tiel.behavioral.pp.v
| 1,090 |
module MODULE1( VAR3, VAR1, VAR6 );
inout VAR1, VAR6;
output VAR3;
VAR5 VAR2(.VAR3(VAR3),.VAR1(VAR1),.VAR6(VAR6));
VAR5 VAR4(.VAR3(VAR3),.VAR1(VAR1),.VAR6(VAR6));
|
apache-2.0
|
VerticalResearchGroup/miaow
|
src/verilog/rtl/lsu/lsu_opcode_decoder.v
| 8,933 |
module MODULE1(
VAR39,
VAR11,
VAR25,
VAR41,
VAR13,
VAR46,
VAR45,
VAR31,
VAR48,
VAR19,
VAR5,
VAR30,
VAR20,
VAR38,
VAR44,
VAR33,
VAR8,
VAR28,
VAR12
);
input VAR39;
input [31:0] VAR11;
input [11:0] VAR25;
input [11:0] VAR41;
input [11:0] VAR13;
input [11:0] VAR46;
output VAR45;
output VAR31;
output [8:0] VAR48;
output [8:0] VAR19;
output VAR5;
output [9:0] VAR30;
output [9:0] VAR20;
output [5:0] VAR38;
output VAR44;
output VAR33;
output VAR8; output [3:0] VAR28;
output [1:0] VAR12;
reg VAR22;
reg VAR1;
reg [8:0] VAR9;
reg [8:0] VAR24;
reg [3:0] VAR40;
reg [9:0] VAR7;
reg VAR16;
reg [9:0] VAR37;
reg [5:0] VAR15;
reg VAR6;
reg VAR23;
reg VAR17;
reg [1:0] VAR3;
always@(*) begin
VAR22 <= 1'b0;
VAR1 <= 1'b0;
VAR9 <= 9'VAR27;
VAR24 <= 9'VAR27;
VAR40 <= 4'VAR14;
VAR7 <= 10'VAR42;
VAR16 <= 1'b0;
VAR37 <= 10'VAR42;
VAR15 <= 6'VAR47;
VAR6 <= 1'b0;
VAR23 <= 1'b0;
VAR17 <= 1'b0;
VAR3 <= 2'd0;
case({VAR39, VAR11[31:24]})
{1'b1, VAR43}:
begin
VAR9 <= VAR34;
VAR24 <= VAR26;
VAR22 <= 1'b1;
if(VAR11[VAR35] == 0) begin
VAR1 <= 1'b1;
end
end
{1'b1,VAR10}:
begin
VAR7 <= VAR21;
VAR37 <= VAR29;
VAR16 <= 1'b1;
VAR17 <= 1'b1;
end
{1'b1, VAR32}:
begin
VAR7 <= VAR2;
VAR37 <= VAR4;
VAR9 <= VAR18;
VAR24 <= VAR36;
VAR16 <= 1'b1;
VAR22 <= 1'b1;
VAR1 <= 1'b1;
VAR17 <= 1'b1;
end
endcase
casex({VAR39, VAR11[31:24], VAR11[7:0]})
{1'b1, VAR43, 8'h00}: begin
VAR6 <= 1'b1;
VAR15 <= 6'd0;
VAR40 <= 4'b0001;
end
{1'b1, VAR43, 8'h01}: begin
VAR6 <= 1'b1;
VAR15 <= 6'd1;
VAR40 <= 4'b0011;
end
{1'b1, VAR43, 8'h02}: begin
VAR6 <= 1'b1;
VAR15 <= 7'd3;
VAR40 <= 4'b1111;
end
{1'b1, VAR43, 8'h03}: begin
VAR3 <= 2'b01;
VAR6 <= 1'b1;
VAR15 <= 6'd3;
VAR40 <= 4'b1111;
end
{1'b1, VAR43, 8'h04}: begin
VAR3 <= 2'b10;
VAR6 <= 1'b1;
VAR15 <= 6'd3;
VAR40 <= 4'b1111;
end
{1'b1, VAR43, 8'h08}: begin
VAR6 <= 1'b1;
VAR15 <= 6'd0;
VAR40 <= 4'b0001;
end
{1'b1, VAR43, 8'h09}: begin
VAR6 <= 1'b1;
VAR15 <= 6'd1;
VAR40 <= 4'b0011;
end
{1'b1, VAR10, 8'h36}: begin
VAR6 <= 1'b1;
end
{1'b1, VAR10, 8'h0D}: begin
VAR23 <= 1'b1;
end
{1'b1, VAR32, 8'h00}: begin
VAR6 <= 1'b1;
VAR15 <= 7'd63;
end
{1'b1, VAR32, 8'h01}: begin
VAR3 <= 2'b01;
VAR6 <= 1'b1;
VAR15 <= 6'd63;
end
{1'b1, VAR32, 8'h02}: begin
VAR3 <= 2'b10;
VAR6 <= 1'b1;
VAR15 <= 6'd63;
end
{1'b1, VAR32, 8'h03}: begin
VAR3 <= 2'b11;
VAR6 <= 1'b1;
VAR15 <= 6'd63;
end
{1'b1, VAR32, 8'h04}: begin
VAR23 <= 1'b1;
VAR15 <= 6'd63;
end
{1'b1, VAR32, 8'h05}: begin
VAR3 <= 2'b01;
VAR23 <= 1'b1;
VAR15 <= 6'd63;
end
{1'b1, VAR32, 8'h06}: begin
VAR3 <= 2'b10;
VAR23 <= 1'b1;
VAR15 <= 6'd63;
end
{1'b1, VAR32, 8'h07}: begin
VAR3 <= 2'b11;
VAR23 <= 1'b1;
VAR15 <= 6'd63;
end
endcase
end
assign VAR45 = VAR22;
assign VAR31 = VAR1;
assign VAR48 = VAR9;
assign VAR19 = VAR24;
assign VAR28 = VAR40;
assign VAR5 = VAR16;
assign VAR30 = VAR7;
assign VAR20 = VAR37;
assign VAR38 = VAR15;
assign VAR44 = VAR6;
assign VAR33 = VAR23;
assign VAR8 = VAR17;
assign VAR12 = VAR3;
endmodule
|
bsd-3-clause
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/inputiso1n/sky130_fd_sc_hdll__inputiso1n.functional.pp.v
| 1,875 |
module MODULE1 (
VAR4 ,
VAR9 ,
VAR13,
VAR11 ,
VAR1 ,
VAR8 ,
VAR12
);
output VAR4 ;
input VAR9 ;
input VAR13;
input VAR11 ;
input VAR1 ;
input VAR8 ;
input VAR12 ;
wire VAR5 ;
wire VAR2;
not VAR6 (VAR5 , VAR13 );
or VAR3 (VAR2, VAR9, VAR5 );
VAR7 VAR10 (VAR4 , VAR2, VAR11, VAR1);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ms
|
cells/dfrtp/sky130_fd_sc_ms__dfrtp.pp.blackbox.v
| 1,367 |
module MODULE1 (
VAR5 ,
VAR7 ,
VAR1 ,
VAR2,
VAR4 ,
VAR3 ,
VAR8 ,
VAR6
);
output VAR5 ;
input VAR7 ;
input VAR1 ;
input VAR2;
input VAR4 ;
input VAR3 ;
input VAR8 ;
input VAR6 ;
endmodule
|
apache-2.0
|
ServerTech/neptune
|
code/smr_reg_core.v
| 1,685 |
module MODULE1(clk, rst, VAR4, VAR1, wr, rd);
parameter VAR2 = 'd16; parameter VAR5 = 'd13;
input wire clk , rst ; input wire VAR4 , VAR1 ; input wire [VAR2-1:0] wr ;
output wire [VAR5-1:0] rd ;
reg [VAR2-1:0] VAR3 ;
assign rd [VAR5-1:0] = VAR3 [VAR5-1:0];
always@(posedge clk) begin
end
if(rst) VAR3 [VAR2-1:0] <= {VAR2{1'b0}}; else if(VAR4) VAR3 [VAR2-1:0] <= wr [VAR2-1:0]; else if(VAR1) VAR3 [VAR2-1:0] <= VAR3 [VAR2-1:0] + 1'b1; end
endmodule
|
mit
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
|
cells/dffq/gf180mcu_fd_sc_mcu7t5v0__dffq_4.behavioral.pp.v
| 2,179 |
module MODULE1( VAR6, VAR8, VAR13, VAR7, VAR3 );
input VAR6, VAR8;
inout VAR7, VAR3;
output VAR13;
reg VAR2;
VAR9 VAR4(.VAR6(VAR6),.VAR8(VAR8),.VAR13(VAR13),.VAR7(VAR7),.VAR3(VAR3),.VAR2(VAR2));
VAR9 VAR5(.VAR6(VAR6),.VAR8(VAR8),.VAR13(VAR13),.VAR7(VAR7),.VAR3(VAR3),.VAR2(VAR2));
not VAR11(VAR1,VAR8);
buf VAR10(VAR12,VAR8);
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hvl
|
cells/and2/sky130_fd_sc_hvl__and2.symbol.v
| 1,264 |
module MODULE1 (
input VAR4,
input VAR3,
output VAR5
);
supply1 VAR6;
supply0 VAR2;
supply1 VAR1 ;
supply0 VAR7 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/mux4/sky130_fd_sc_ls__mux4.functional.pp.v
| 1,983 |
module MODULE1 (
VAR4 ,
VAR18 ,
VAR11 ,
VAR10 ,
VAR1 ,
VAR17 ,
VAR14 ,
VAR6,
VAR9,
VAR15 ,
VAR3
);
output VAR4 ;
input VAR18 ;
input VAR11 ;
input VAR10 ;
input VAR1 ;
input VAR17 ;
input VAR14 ;
input VAR6;
input VAR9;
input VAR15 ;
input VAR3 ;
wire VAR13 ;
wire VAR5;
VAR7 VAR16 (VAR13 , VAR18, VAR11, VAR10, VAR1, VAR17, VAR14 );
VAR8 VAR2 (VAR5, VAR13, VAR6, VAR9);
buf VAR12 (VAR4 , VAR5 );
endmodule
|
apache-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/oai32/gf180mcu_fd_sc_mcu9t5v0__oai32_1.functional.v
| 1,666 |
module MODULE1( VAR3, VAR12, VAR13, VAR18, VAR19, VAR7 );
input VAR13, VAR12, VAR3, VAR19, VAR7;
output VAR18;
wire VAR8;
not VAR20( VAR8, VAR13 );
wire VAR14;
not VAR1( VAR14, VAR12 );
wire VAR2;
not VAR11( VAR2, VAR3 );
wire VAR15;
and VAR5( VAR15, VAR8, VAR14, VAR2 );
wire VAR6;
not VAR4( VAR6, VAR19 );
wire VAR21;
not VAR10( VAR21, VAR7 );
wire VAR9;
and VAR17( VAR9, VAR6, VAR21 );
or VAR16( VAR18, VAR15, VAR9 );
endmodule
|
apache-2.0
|
rurume/openrisc_vision_hardware
|
ISE/or1200_spram_256x21.v
| 10,827 |
module MODULE1(
VAR32, VAR21, VAR37,
clk, rst, VAR19, VAR47, VAR34, addr, VAR18, VAR53
);
parameter VAR42 = 8;
parameter VAR6 = 21;
input VAR32;
input [VAR17 - 1:0] VAR37;
output VAR21;
input clk; input rst; input VAR19; input VAR47; input VAR34; input [VAR42-1:0] addr; input [VAR6-1:0] VAR18; output [VAR6-1:0] VAR53;
wire [10:0] VAR29;
wire [10:0] VAR29;
assign VAR21 = VAR32;
VAR43 #(VAR6, 1<<VAR42, VAR42) VAR1(
VAR33 VAR1(
VAR43 VAR1(
.VAR32(VAR32),
.VAR21(VAR21),
.VAR37(VAR37),
.VAR48(clk),
.VAR50(~VAR19),
.VAR13(~VAR47),
.VAR3(addr),
.VAR44(VAR18),
.VAR25(~VAR34),
.VAR4(VAR53)
);
VAR38 VAR38(
.VAR11(~VAR47),
.VAR26(),
.VAR10(~VAR34),
.VAR14(),
.VAR16(),
.VAR54(addr),
.VAR49(addr),
.VAR18(VAR18),
.VAR53(VAR53)
);
VAR8 VAR8(
.clk(clk),
.VAR12(addr),
.VAR24(VAR18),
.VAR47(VAR47),
.VAR34(VAR34),
.VAR45(VAR19),
.VAR9(VAR53)
);
VAR23 #(1<<VAR42, VAR42-1, VAR6-1) VAR7(
VAR35 VAR7(
VAR23 VAR7(
.VAR32(VAR32),
.VAR21(VAR21),
.VAR37(VAR37),
.VAR5(clk),
.VAR2(addr),
.VAR31(VAR18),
.VAR13(~VAR47),
.VAR50(~VAR19),
.VAR25(~VAR34),
.VAR22(VAR53)
);
VAR41 VAR51(
.VAR48(clk),
.VAR27(rst),
.VAR28(addr),
.VAR31(VAR18[15:0]),
.VAR36(VAR19),
.VAR40(VAR47),
.VAR15(VAR53[15:0])
);
VAR41 VAR55(
.VAR48(clk),
.VAR27(rst),
.VAR28(addr),
.VAR31({11'b00000000000, VAR18[20:16]}),
.VAR36(VAR19),
.VAR40(VAR47),
.VAR15({VAR29, VAR53[20:16]})
);
VAR20 VAR30(
.VAR48(clk),
.VAR39(rst),
.VAR28({1'b0,addr}),
.VAR31({11'b00000000000,VAR18[20:0]}),
.VAR52(4'h0),
.VAR36(VAR19),
.VAR40(VAR47),
.VAR15({VAR29,VAR53[20:0]}),
.VAR46()
);
wire wr;
assign wr = VAR19 & VAR47;
|
gpl-2.0
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.