repo_name
stringlengths 6
79
| path
stringlengths 4
249
| size
int64 1.02k
768k
| content
stringlengths 15
207k
| license
stringclasses 14
values |
---|---|---|---|---|
kyzhai/NUNY
|
src/hardware/sun.v
| 6,333 |
module MODULE1 (
address,
VAR50,
VAR40);
input [11:0] address;
input VAR50;
output [11:0] VAR40;
tri1 VAR50;
wire [11:0] VAR17;
wire [11:0] VAR40 = VAR17[11:0];
VAR5 VAR24 (
.VAR7 (address),
.VAR38 (VAR50),
.VAR32 (VAR17),
.VAR46 (1'b0),
.VAR18 (1'b0),
.VAR33 (1'b1),
.VAR45 (1'b0),
.VAR36 (1'b0),
.VAR10 (1'b1),
.VAR3 (1'b1),
.VAR34 (1'b1),
.VAR13 (1'b1),
.VAR14 (1'b1),
.VAR31 (1'b1),
.VAR22 (1'b1),
.VAR15 ({12{1'b1}}),
.VAR44 (1'b1),
.VAR49 (),
.VAR41 (),
.VAR2 (1'b1),
.VAR16 (1'b1),
.VAR25 (1'b0),
.VAR8 (1'b0));
VAR24.VAR19 = "VAR26",
VAR24.VAR23 = "VAR29",
VAR24.VAR6 = "VAR29",
VAR24.VAR48 = "../VAR12/MODULE1.VAR39",
VAR24.VAR28 = "VAR43 VAR37",
VAR24.VAR1 = "VAR11=VAR4",
VAR24.VAR47 = "VAR5",
VAR24.VAR27 = 4096,
VAR24.VAR35 = "VAR21",
VAR24.VAR9 = "VAR26",
VAR24.VAR30 = "VAR52",
VAR24.VAR42 = 12,
VAR24.VAR51 = 12,
VAR24.VAR20 = 1;
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/o41ai/sky130_fd_sc_ls__o41ai_1.v
| 2,424 |
module MODULE2 (
VAR10 ,
VAR4 ,
VAR3 ,
VAR11 ,
VAR5 ,
VAR9 ,
VAR8,
VAR1,
VAR2 ,
VAR7
);
output VAR10 ;
input VAR4 ;
input VAR3 ;
input VAR11 ;
input VAR5 ;
input VAR9 ;
input VAR8;
input VAR1;
input VAR2 ;
input VAR7 ;
VAR12 VAR6 (
.VAR10(VAR10),
.VAR4(VAR4),
.VAR3(VAR3),
.VAR11(VAR11),
.VAR5(VAR5),
.VAR9(VAR9),
.VAR8(VAR8),
.VAR1(VAR1),
.VAR2(VAR2),
.VAR7(VAR7)
);
endmodule
module MODULE2 (
VAR10 ,
VAR4,
VAR3,
VAR11,
VAR5,
VAR9
);
output VAR10 ;
input VAR4;
input VAR3;
input VAR11;
input VAR5;
input VAR9;
supply1 VAR8;
supply0 VAR1;
supply1 VAR2 ;
supply0 VAR7 ;
VAR12 VAR6 (
.VAR10(VAR10),
.VAR4(VAR4),
.VAR3(VAR3),
.VAR11(VAR11),
.VAR5(VAR5),
.VAR9(VAR9)
);
endmodule
|
apache-2.0
|
GSejas/Dise-o-ASIC-FPGA-FPU
|
my_sourcefiles/Source_Files/Multipliers/26bit/BinaryKOA/ks10.v
| 1,452 |
module MODULE1(VAR8, VAR13, VAR10);
input wire [9:0] VAR8;
input wire [9:0] VAR13;
output wire [18:0] VAR10;
wire [2:0] VAR7;
wire [14:0] VAR11;
wire [14:0] VAR5;
wire [7:0] VAR3;
wire [7:0] VAR12;
VAR1 VAR6(VAR8[7:0], VAR13[7:0], VAR11);
VAR9 VAR4(VAR8[9:8], VAR13[9:8], VAR7);
assign VAR3[1:0] = VAR8[9:8] ^ VAR8[1:0];
assign VAR3[7:2] = VAR8[7:2];
assign VAR12[1:0] = VAR13[9:8] ^ VAR13[1:0];
assign VAR12[7:2] = VAR13[7:2];
VAR1 VAR2(VAR3, VAR12, VAR5);
assign VAR10[00] = VAR11[00];
assign VAR10[01] = VAR11[01];
assign VAR10[02] = VAR11[02];
assign VAR10[03] = VAR11[03];
assign VAR10[04] = VAR11[04];
assign VAR10[05] = VAR11[05];
assign VAR10[06] = VAR11[06];
assign VAR10[07] = VAR11[07];
assign VAR10[08] = VAR11[08] ^ VAR7[00] ^ VAR11[00] ^ VAR5[00];
assign VAR10[09] = VAR11[09] ^ VAR7[01] ^ VAR11[01] ^ VAR5[01];
assign VAR10[10] = VAR11[10] ^ VAR7[02] ^ VAR11[02] ^ VAR5[02];
assign VAR10[11] = VAR11[11] ^ VAR11[03] ^ VAR5[03];
assign VAR10[12] = VAR11[12] ^ VAR11[04] ^ VAR5[04];
assign VAR10[13] = VAR11[13] ^ VAR11[05] ^ VAR5[05];
assign VAR10[14] = VAR11[14] ^ VAR11[06] ^ VAR5[06];
assign VAR10[15] = VAR11[07] ^ VAR5[07];
assign VAR10[16] = VAR11[08] ^ VAR5[08] ^ VAR7[00];
assign VAR10[17] = VAR11[09] ^ VAR5[09] ^ VAR7[01];
assign VAR10[18] = VAR11[10] ^ VAR5[10] ^ VAR7[02];
endmodule
|
gpl-3.0
|
YuxuanLing/trunk
|
trunk/references/h265enc_v1.0/rtl/mem/rtl_model/cur_mb_yuv.v
| 8,235 |
module MODULE1 (
clk ,
VAR4 ,
VAR17 ,
VAR31 ,
VAR22 ,
VAR23 ,
VAR26 ,
VAR2 ,
VAR16 ,
VAR9 ,
VAR1
);
parameter VAR14 = "./VAR15/VAR6.VAR3" ;
input clk ; input VAR4 ; input VAR17 ; output VAR31 ; input VAR22 ; input VAR23 ; input [1 : 0] VAR26 ; input [4 : 0] VAR9 ; input [3 : 0] VAR2 ; input [3 : 0] VAR16 ; output [VAR24*32-1 : 0] VAR1 ;
reg VAR31 ;
integer VAR7 ;
VAR13 VAR11 (
.clk ( clk ),
.VAR4 ( VAR4 ),
.VAR20 ( 1'b0 ),
.VAR29 ( 8'b0 ),
.VAR10 ( 256'b0 ),
.VAR30 ( VAR23 ),
.VAR8 ( VAR22 ),
.VAR5 ( VAR26 ),
.VAR19 ( VAR2 ),
.VAR25 ( VAR16 ),
.VAR18 ( VAR9 ),
.VAR21 ( VAR1 )
);
reg [VAR24*32-1:0] VAR28 ;
integer VAR12 ;
integer VAR27 ;
|
gpl-3.0
|
TheMadSocrates/vercpu-project
|
rtl/fpga/pc_system.v
| 2,267 |
module MODULE1(
input wire [ 7 : 0] VAR6,
input wire VAR7,
input wire clk,
input wire VAR15,
output wire [ 7 : 0] VAR4,
output wire [ 3 : 0] VAR22,
output wire [ 6 : 0] VAR23
);
wire VAR8;
wire [ 7 : 0] VAR19, VAR26, VAR14, VAR24;
wire [15 : 0] VAR1;
assign VAR8 = clk;
VAR17 VAR12 (
.VAR18(VAR1),
.clk(clk),
.VAR15(VAR15),
.VAR22(VAR22),
.VAR23(VAR23)
);
VAR21 VAR2 (
.address(VAR26),
.VAR16(VAR24),
.VAR6(VAR6),
.clk(VAR8),
.VAR15(VAR15),
.VAR13(VAR3),
.VAR4(VAR4),
.VAR10(VAR14)
);
VAR11 VAR5 (
.clk(VAR8),
.VAR15(VAR15),
.VAR1(VAR1),
.VAR14(VAR14),
.VAR19(VAR19),
.VAR24(VAR24),
.VAR26(VAR26),
.VAR3(VAR3)
);
VAR25 VAR9 (
.VAR20(VAR19),
.VAR27(VAR1)
);
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/a22o/sky130_fd_sc_hdll__a22o_1.v
| 2,355 |
module MODULE1 (
VAR11 ,
VAR9 ,
VAR6 ,
VAR2 ,
VAR1 ,
VAR3,
VAR4,
VAR5 ,
VAR8
);
output VAR11 ;
input VAR9 ;
input VAR6 ;
input VAR2 ;
input VAR1 ;
input VAR3;
input VAR4;
input VAR5 ;
input VAR8 ;
VAR10 VAR7 (
.VAR11(VAR11),
.VAR9(VAR9),
.VAR6(VAR6),
.VAR2(VAR2),
.VAR1(VAR1),
.VAR3(VAR3),
.VAR4(VAR4),
.VAR5(VAR5),
.VAR8(VAR8)
);
endmodule
module MODULE1 (
VAR11 ,
VAR9,
VAR6,
VAR2,
VAR1
);
output VAR11 ;
input VAR9;
input VAR6;
input VAR2;
input VAR1;
supply1 VAR3;
supply0 VAR4;
supply1 VAR5 ;
supply0 VAR8 ;
VAR10 VAR7 (
.VAR11(VAR11),
.VAR9(VAR9),
.VAR6(VAR6),
.VAR2(VAR2),
.VAR1(VAR1)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/and4/sky130_fd_sc_hdll__and4.functional.v
| 1,304 |
module MODULE1 (
VAR6,
VAR7,
VAR3,
VAR2,
VAR4
);
output VAR6;
input VAR7;
input VAR3;
input VAR2;
input VAR4;
wire VAR5;
and VAR8 (VAR5, VAR7, VAR3, VAR2, VAR4 );
buf VAR1 (VAR6 , VAR5 );
endmodule
|
apache-2.0
|
HarmonInstruments/hififo
|
hdl/hififo.v
| 10,994 |
module MODULE1
(
output [VAR44-1:0] VAR140,
output [VAR44-1:0] VAR112,
input [VAR44-1:0] VAR114,
input [VAR44-1:0] VAR160,
input VAR70,
input VAR149,
input VAR72,
output VAR41,
input [9*VAR44-1:0] VAR158,
input [VAR44-1:0] VAR96,
input [16*VAR44-1:0] VAR68,
output [16*VAR44-1:0] VAR42,
output [VAR44-1:0] VAR107,
input [VAR44-1:0] VAR79,
output VAR3,
input [7:0] VAR7,
output [7:0] VAR94,
input [7:0] VAR4,
output [7:0] VAR30,
output [63:0] VAR35,
output [63:0] VAR5,
output [63:0] VAR62,
output [63:0] VAR66,
input [63:0] VAR12,
input [63:0] VAR156,
input [63:0] VAR113,
input [63:0] VAR159
);
wire [15:0] VAR89;
wire VAR133;
wire VAR46;
wire [5:0] VAR59;
wire [7:0] VAR40;
wire VAR108;
wire VAR150;
wire [23:0] VAR56;
wire [7:0] VAR132;
reg VAR1;
wire [63:0] VAR145;
wire [5:0] VAR24;
wire [31:0] VAR73 = {VAR56, 1'b0, VAR132[4:0], 2'd0};
reg [31:0] VAR99;
reg VAR93 = 0;
wire VAR118;
wire [3:0] VAR69, VAR129;
wire [63:0] VAR124 [0:3];
wire [2:0] VAR147 [0:3];
wire [3:0] VAR71, VAR2;
wire [63:0] VAR110[0:3];
wire [63:0] VAR117[0:3];
wire [3:0] VAR148;
wire [31:0] VAR111[0:7];
reg interrupt;
wire VAR102;
reg [7:0] VAR74 = 0;
wire [7:0] VAR155;
wire [63:0] VAR136[0:7];
reg [7:0] VAR88;
assign VAR35 = VAR136[0];
assign VAR5 = VAR136[1];
assign VAR62 = VAR136[2];
assign VAR66 = VAR136[3];
assign VAR136[4] = VAR12;
assign VAR136[5] = VAR156;
assign VAR136[6] = VAR113;
assign VAR136[7] = VAR159;
reg [1:0] read = 0;
reg VAR116 = 0;
always @ (posedge VAR41)
begin
interrupt <= (VAR155 != 0)
| (interrupt & ~VAR102 & ~VAR133);
if(VAR133 | (read[1] && (VAR132[4:1] == 0)))
VAR74 <= 1'b0;
end
else
VAR74 <= VAR74 | VAR155;
if(VAR133)
VAR88 <= 8'hFF;
else if(VAR108)
case(VAR24)
3: VAR88 <= VAR88 | VAR145[7:0];
4: VAR88 <= VAR88 & ~VAR145[7:0];
endcase
if(VAR118)
VAR116 <= 1'b0;
else if(VAR150 & ~VAR1)
VAR116 <= 1'b1;
VAR1 <= VAR118;
read[0] <= VAR150 & ~VAR116 & ~VAR1;
read[1] <= read[0];
if(VAR118)
VAR93 <= 1'b0;
else if(read[1])
VAR93 <= 1'b1;
if(read[1])
case(VAR132[4:1])
0: VAR99 <= VAR74;
1: VAR99 <= VAR58;
2: VAR99 <= VAR139;
3: VAR99 <= VAR88;
4: VAR99 <= VAR88;
8: VAR99 <= VAR111[0];
9: VAR99 <= VAR111[1];
10: VAR99 <= VAR111[2];
11: VAR99 <= VAR111[3];
12: VAR99 <= VAR111[4];
13: VAR99 <= VAR111[5];
14: VAR99 <= VAR111[6];
15: VAR99 <= VAR111[7];
default: VAR99 <= 1'b0;
endcase
end
genvar VAR45;
generate
for (VAR45 = 0; VAR45 < 8; VAR45 = VAR45+1) begin: VAR26
if((2**VAR45 & VAR58 & 8'h0F) != 0)
begin
VAR75 VAR105
(.VAR41(VAR41),
.reset(VAR88[VAR45]),
.VAR111(VAR111[VAR45]),
.interrupt(VAR155[VAR45]),
.VAR77(VAR45[1:0]),
.VAR61(VAR46),
.VAR91(VAR40),
.VAR81(VAR59),
.VAR131(VAR108 && (VAR24 == 8+VAR45)),
.VAR145(VAR145),
.VAR19(VAR69[VAR45]),
.VAR84(VAR129[VAR45]),
.VAR31(VAR124[VAR45]),
.VAR82(VAR147[VAR45]),
.VAR7(VAR7[VAR45]),
.VAR152(VAR4[VAR45] & ~VAR94[VAR45]),
.VAR101(VAR136[VAR45]),
.VAR36(VAR30[VAR45])
);
end
else if(VAR45<4)
begin
assign VAR147[VAR45] = 0;
assign VAR136[VAR45] = 0;
assign VAR69[VAR45] = 0;
assign VAR124[VAR45] = 0;
end
if((2**VAR45 & VAR58 & 8'hF0) != 0)
begin
VAR92 VAR38
(.VAR41(VAR41),
.reset(VAR88[VAR45]),
.VAR111(VAR111[VAR45]),
.interrupt(VAR155[VAR45]),
.VAR145(VAR145),
.VAR57(VAR108 && (VAR24 == 8+VAR45)),
.VAR97(VAR71[VAR45-4]),
.VAR27(VAR2[VAR45-4]),
.VAR47(VAR110[VAR45-4]),
.VAR142(VAR117[VAR45-4]),
.VAR138(VAR148[VAR45-4]),
.VAR7(VAR7[VAR45]),
.VAR136(VAR136[VAR45]),
.VAR13(VAR4[VAR45] & ~VAR94[VAR45]),
.VAR30(VAR30[VAR45])
);
end
else if(VAR45>3)
begin
assign VAR148[VAR45-4] = 0;
assign VAR110[VAR45-4] = 0;
assign VAR117[VAR45-4] = 0;
assign VAR71[VAR45-4] = 0;
end
if((2**VAR45 & VAR58) == 0)
begin
assign VAR111[VAR45] = 0;
assign VAR30[VAR45] = 0;
assign VAR155[VAR45] = 0;
assign VAR94[VAR45] = 1'b1;
end
else
begin
wire VAR120;
sync sync(
.VAR41(VAR7[VAR45]),
.in(VAR88[VAR45]),
.out(VAR120)
);
VAR90 #(.VAR17(4)) VAR80
(
.VAR41(VAR7[VAR45]),
.in(VAR120),
.out(VAR94[VAR45])
);
end
end
endgenerate
wire VAR63;
wire [63:0] VAR20;
wire VAR28;
wire VAR123;
wire VAR37;
wire VAR134;
wire VAR15;
wire [63:0] VAR67;
VAR109 VAR64
(.VAR41(VAR41),
.reset(VAR133),
.VAR135(VAR108),
.VAR85(VAR46),
.VAR125(VAR59),
.VAR87(VAR40),
.VAR76(VAR145),
.address(VAR24),
.VAR19(VAR150),
.VAR84(VAR1),
.VAR11(VAR56),
.VAR31(VAR132),
.VAR141(VAR134),
.VAR10(VAR15),
.VAR130(VAR67)
);
wire VAR52;
wire VAR95;
wire [63:0] VAR53;
wire [7:0] VAR144;
VAR32 VAR32
(.VAR41(VAR41),
.reset(VAR133),
.VAR55(VAR69[3:0]),
.VAR18(VAR129[3:0]),
.VAR29(VAR124[0]),
.VAR8(VAR124[1]),
.VAR153(VAR124[2]),
.VAR98(VAR124[3]),
.VAR54(VAR147[0]),
.VAR21(VAR147[1]),
.VAR161(VAR147[2]),
.VAR23(VAR147[3]),
.VAR100(VAR52),
.VAR51(VAR95),
.VAR162(VAR53),
.VAR106(VAR144));
wire VAR121, VAR48, VAR6;
wire [63:0] VAR78;
wire [63:0] VAR151;
VAR33 VAR33
(.VAR41(VAR41),
.reset(VAR133),
.VAR104(VAR71),
.VAR34(VAR2),
.VAR128(VAR148),
.VAR22(VAR117[0]),
.VAR86(VAR117[1]),
.VAR25(VAR117[2]),
.VAR14(VAR117[3]),
.VAR146(VAR110[0]),
.VAR83(VAR110[1]),
.VAR115(VAR110[2]),
.VAR119(VAR110[3]),
.VAR143(VAR121),
.VAR43(VAR48),
.VAR9(VAR151),
.VAR157(VAR78),
.VAR137(VAR6)
);
VAR60 VAR154
(.VAR41(VAR41),
.reset(VAR133),
.VAR89(VAR89),
.VAR61(VAR93),
.VAR50(VAR118),
.VAR122(VAR73),
.VAR127(VAR99),
.VAR19(VAR52),
.VAR84(VAR95),
.VAR31(VAR53),
.VAR82(VAR144),
.VAR97(VAR121),
.VAR27(VAR48),
.VAR47(VAR78),
.VAR142(VAR151),
.VAR138(VAR6),
.VAR103(VAR63),
.VAR126(VAR20),
.VAR39(VAR28),
.VAR65(VAR123),
.VAR49(VAR37)
);
VAR16 VAR16
(.VAR140(VAR140),
.VAR112(VAR112),
.VAR114(VAR114),
.VAR160(VAR160),
.VAR70(VAR70),
.VAR149(VAR149),
.VAR72(VAR72),
.VAR41(VAR41),
.VAR89(VAR89),
.interrupt(interrupt),
.VAR102(VAR102),
.VAR133(VAR133),
.VAR158(VAR158),
.VAR96(VAR96),
.VAR68(VAR68),
.VAR42(VAR42),
.VAR107(VAR107),
.VAR79(VAR79),
.VAR3(VAR3),
.VAR158(1'b0),
.VAR96(1'b0),
.VAR68(1'b0),
.VAR42(),
.VAR107(),
.VAR79(1'b0),
.VAR3(),
.VAR63(VAR63),
.VAR20(VAR20),
.VAR28(VAR28),
.VAR123(VAR123),
.VAR37(VAR37),
.VAR134(VAR134),
.VAR15(VAR15),
.VAR67(VAR67)
);
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/a32oi/sky130_fd_sc_hd__a32oi.behavioral.v
| 1,711 |
module MODULE1 (
VAR5 ,
VAR9,
VAR7,
VAR1,
VAR6,
VAR17
);
output VAR5 ;
input VAR9;
input VAR7;
input VAR1;
input VAR6;
input VAR17;
supply1 VAR15;
supply0 VAR13;
supply1 VAR2 ;
supply0 VAR12 ;
wire VAR8 ;
wire VAR3 ;
wire VAR10;
nand VAR11 (VAR8 , VAR7, VAR9, VAR1 );
nand VAR4 (VAR3 , VAR17, VAR6 );
and VAR16 (VAR10, VAR8, VAR3);
buf VAR14 (VAR5 , VAR10 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/dlymetal6s4s/sky130_fd_sc_ls__dlymetal6s4s.blackbox.v
| 1,324 |
module MODULE1 (
VAR3,
VAR4
);
output VAR3;
input VAR4;
supply1 VAR5;
supply0 VAR2;
supply1 VAR1 ;
supply0 VAR6 ;
endmodule
|
apache-2.0
|
alexforencich/verilog-ethernet
|
rtl/ptp_perout.v
| 10,488 |
module MODULE1 #
(
parameter VAR30 = 1,
parameter VAR68 = 48'h0,
parameter VAR64 = 30'h0,
parameter VAR16 = 16'h0000,
parameter VAR59 = 48'd1,
parameter VAR57 = 30'd0,
parameter VAR22 = 16'h0000,
parameter VAR65 = 48'h0,
parameter VAR45 = 30'd1000,
parameter VAR13 = 16'h0000
)
(
input wire clk,
input wire rst,
input wire [95:0] VAR39,
input wire VAR66,
input wire enable,
input wire [95:0] VAR24,
input wire VAR28,
input wire [95:0] VAR20,
input wire VAR52,
input wire [95:0] VAR31,
input wire VAR2,
output wire VAR51,
output wire VAR36,
output wire VAR26
);
localparam [2:0]
VAR34 = 3'd0,
VAR54 = 3'd1,
VAR5 = 3'd2,
VAR21 = 3'd3,
VAR15 = 3'd4,
VAR14 = 3'd5;
reg [2:0] VAR27 = VAR34, VAR25;
reg [47:0] VAR38 = 0;
reg [30:0] VAR48 = 0;
reg [15:0] VAR17 = 0;
reg [47:0] VAR53 = 0, VAR19;
reg [30:0] VAR12 = 0, VAR29;
reg [15:0] VAR69 = 0, VAR3;
reg [47:0] VAR10 = 0, VAR50;
reg [30:0] VAR63 = 0, VAR49;
reg [15:0] VAR58 = 0, VAR1;
reg [47:0] VAR11 = VAR68;
reg [30:0] VAR62 = VAR64;
reg [15:0] VAR44 = VAR16;
reg [47:0] VAR9 = VAR59;
reg [30:0] VAR61 = VAR57;
reg [15:0] VAR4 = VAR22;
reg [47:0] VAR8 = VAR65;
reg [30:0] VAR55 = VAR45;
reg [15:0] VAR6 = VAR13;
reg [29:0] VAR43 = 0, VAR56;
reg [15:0] VAR60 = 0, VAR33;
reg [30:0] VAR46 = 0, VAR18;
reg [15:0] VAR35 = 0, VAR67;
reg VAR23 = 1'b0, VAR47;
reg VAR41 = 1'b0, VAR7;
reg VAR40 = 1'b0, VAR42;
reg VAR32 = 1'b0, VAR37;
assign VAR51 = VAR23;
assign VAR36 = VAR41;
assign VAR26 = VAR32;
always @* begin
VAR25 = VAR34;
VAR19 = VAR53;
VAR29 = VAR12;
VAR3 = VAR69;
VAR50 = VAR10;
VAR49 = VAR63;
VAR1 = VAR58;
VAR56 = VAR43;
VAR33 = VAR60;
VAR18 = VAR46;
VAR67 = VAR35;
VAR47 = VAR23;
VAR7 = VAR41;
VAR42 = VAR40;
VAR37 = VAR32;
if (VAR28 || VAR52 || VAR66) begin
VAR47 = 1'b0;
VAR42 = 1'b0;
VAR37 = 1'b0;
VAR7 = VAR66;
VAR25 = VAR34;
end else begin
case (VAR27)
VAR34: begin
VAR19 = VAR11;
VAR29 = VAR62;
if (VAR30) begin
VAR3 = VAR44;
end
VAR47 = 1'b0;
VAR42 = 1'b0;
VAR37 = 1'b0;
VAR25 = VAR21;
end
VAR54: begin
{VAR56, VAR33} = {VAR12, VAR69} + {VAR61, VAR4};
{VAR18, VAR67} = {VAR12, VAR69} + {VAR61, VAR4} - {31'd1000000000, 16'd0};
VAR25 = VAR5;
end
VAR5: begin
if (!VAR46[30]) begin
VAR19 = VAR53 + VAR9 + 1;
VAR29 = VAR46;
VAR3 = VAR35;
end else begin
VAR19 = VAR53 + VAR9;
VAR29 = VAR43;
VAR3 = VAR60;
end
VAR25 = VAR14;
end
VAR21: begin
{VAR56, VAR33} = {VAR12, VAR69} + {VAR55, VAR6};
{VAR18, VAR67} = {VAR12, VAR69} + {VAR55, VAR6} - {31'd1000000000, 16'd0};
VAR25 = VAR15;
end
VAR15: begin
if (!VAR46[30]) begin
VAR50 = VAR53 + VAR8 + 1;
VAR49 = VAR46;
VAR1 = VAR35;
end else begin
VAR50 = VAR53 + VAR8;
VAR49 = VAR43;
VAR1 = VAR60;
end
VAR25 = VAR14;
end
VAR14: begin
if ((VAR38 > VAR53) || (VAR38 == VAR53 && {VAR48, VAR17} > {VAR12, VAR69})) begin
VAR42 = 1'b1;
VAR37 = enable && VAR23;
VAR25 = VAR54;
end else if ((VAR38 > VAR10) || (VAR38 == VAR10 && {VAR48, VAR17} > {VAR63, VAR58})) begin
VAR42 = 1'b0;
VAR37 = 1'b0;
VAR25 = VAR21;
end else begin
VAR47 = VAR23 || VAR40;
VAR7 = VAR41 && !(VAR23 || VAR40);
VAR25 = VAR14;
end
end
endcase
end
end
always @(posedge clk) begin
VAR27 <= VAR25;
VAR38 <= VAR39[95:48];
VAR48 <= VAR39[45:16];
if (VAR30) begin
VAR17 <= VAR39[15:0];
end
if (VAR28) begin
VAR11 <= VAR24[95:48];
VAR62 <= VAR24[45:16];
if (VAR30) begin
VAR44 <= VAR24[15:0];
end
end
if (VAR52) begin
VAR9 <= VAR20[95:48];
VAR61 <= VAR20[45:16];
if (VAR30) begin
VAR4 <= VAR20[15:0];
end
end
if (VAR2) begin
VAR8 <= VAR31[95:48];
VAR55 <= VAR31[45:16];
if (VAR30) begin
VAR6 <= VAR31[15:0];
end
end
VAR53 <= VAR19;
VAR12 <= VAR29;
if (VAR30) begin
VAR69 <= VAR3;
end
VAR10 <= VAR50;
VAR63 <= VAR49;
if (VAR30) begin
VAR58 <= VAR1;
end
VAR43 <= VAR56;
if (VAR30) begin
VAR60 <= VAR33;
end
VAR46 <= VAR18;
if (VAR30) begin
VAR35 <= VAR67;
end
VAR23 <= VAR47;
VAR41 <= VAR7;
VAR40 <= VAR42;
VAR32 <= VAR37;
if (rst) begin
VAR27 <= VAR34;
VAR11 <= VAR68;
VAR62 <= VAR64;
VAR44 <= VAR16;
VAR9 <= VAR59;
VAR61 <= VAR57;
VAR4 <= VAR22;
VAR8 <= VAR65;
VAR55 <= VAR45;
VAR6 <= VAR13;
VAR23 <= 1'b0;
VAR41 <= 1'b0;
VAR32 <= 1'b0;
end
end
endmodule
|
mit
|
Obijuan/open-fpga-verilog-tutorial
|
tutorial/Alhambra_II/T14-regreset/regreset.v
| 1,353 |
module MODULE1(input wire clk, output wire [3:0] VAR4);
parameter VAR12 = 23; parameter VAR5 = 4'b1001; parameter VAR8 = 4'b0111;
wire VAR14;
wire [3:0] VAR15;
wire [3:0] VAR2;
reg rst = 0;
always @(posedge(VAR14))
rst <= 1;
register #(.VAR13(VAR5))
VAR10 (
.clk(VAR14),
.rst(rst),
.din(VAR2),
.dout(VAR15)
);
register #(.VAR13(VAR8))
VAR7 (
.clk(VAR14),
.rst(rst),
.din(VAR15),
.dout(VAR2)
);
assign VAR4 = VAR15;
VAR9 #(.VAR1(VAR12))
VAR11 (
.VAR3(clk),
.VAR6(VAR14)
);
endmodule
|
gpl-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/nand2/sky130_fd_sc_hdll__nand2.pp.blackbox.v
| 1,274 |
module MODULE1 (
VAR4 ,
VAR1 ,
VAR3 ,
VAR5,
VAR7,
VAR6 ,
VAR2
);
output VAR4 ;
input VAR1 ;
input VAR3 ;
input VAR5;
input VAR7;
input VAR6 ;
input VAR2 ;
endmodule
|
apache-2.0
|
litex-hub/pythondata-cpu-blackparrot
|
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc.v
| 11,464 |
module MODULE1
import VAR22::*;
import VAR2::*;
,parameter VAR16( VAR161 )
,parameter VAR16( VAR67 ) ,parameter VAR16( VAR132 ) ,parameter VAR16( VAR127 ) ,parameter VAR16( VAR43 ) ,parameter VAR16( VAR3 ) ,localparam VAR38 = VAR67 >> 3
,localparam VAR87 = VAR127 << 1
,localparam VAR166 = (VAR127 >> 3) << 1
,localparam VAR73 = VAR127 >> 3)
(input VAR5 VAR143
,input VAR5 [VAR73-1:0] VAR155
,input VAR5 [VAR73-1:0] VAR165
,input VAR5 VAR122
,input VAR86 VAR11
,input VAR95
,input [VAR161-1:0] VAR46
,input VAR12 VAR96
,input VAR94
,output VAR129
,input VAR168
,input [VAR67-1:0] VAR79
,input [VAR38-1:0] VAR8
,input VAR47
,output VAR141
,output VAR31
,output [VAR67-1:0] VAR37
,output VAR14
,input VAR91
,output VAR29
,input VAR41
,output VAR154
,input VAR130
,output VAR15
,output VAR153
,output VAR53
,output VAR92
,output VAR139
,output [2:0] VAR162
,output [15:0] VAR13
,output VAR146
,output VAR25
,output VAR48
,output VAR164
,output VAR123
,output VAR150
,output [VAR73-1:0] VAR106
,output [VAR73-1:0] VAR24
,output [VAR73-1:0] VAR103
,output [VAR73-1:0] VAR58
,output [VAR73-1:0] VAR65
,input [VAR73-1:0] VAR112
,output [VAR73-1:0] VAR17
,output [VAR73-1:0] VAR109
,output [VAR73-1:0] VAR63
,input [VAR73-1:0] VAR98
,output [VAR127-1:0] VAR170
,output [VAR127-1:0] VAR136
,input [VAR127-1:0] VAR151
,input VAR44
,input VAR68
,output VAR144
,output VAR59
,output [11:0] VAR101
);
wire VAR135;
wire VAR93;
wire VAR116;
wire VAR28;
wire [2:0] VAR167;
wire [15:0] VAR82;
wire VAR64;
wire VAR100;
wire VAR69;
wire VAR83;
wire VAR102;
wire VAR171;
wire VAR89;
wire VAR23;
wire [VAR87-1:0] VAR70;
wire [VAR166-1:0] VAR158;
wire VAR9;
wire [VAR87-1:0] VAR61;
wire VAR152;
wire [VAR73-1:0] VAR42;
assign VAR101 = 12'd0;
VAR72 #
(.VAR133 ( VAR133 )
,.VAR140 ( VAR73 ))
VAR169
(.VAR143 ( VAR143 )
,.VAR155 ( VAR155 )
,.VAR165 ( VAR165 )
,.VAR122 ( VAR122 )
,.VAR19 ( VAR93 )
,.VAR134 ( VAR112 )
,.VAR55 ( VAR42 )
,.VAR159 ( VAR68 )
,.VAR126 ( VAR135 ));
assign VAR144 = VAR135;
VAR62 #(.VAR26(1)) VAR115
(.VAR7 ( VAR44 )
,.VAR36 ( VAR95 )
,.VAR56 ( VAR116 ));
VAR62 #(.VAR26(1)) VAR40
(.VAR7 ( VAR135 )
,.VAR36 ( VAR95 )
,.VAR56 ( VAR28 ));
assign VAR59 = VAR116;
VAR10 #
(.VAR161 ( VAR161 )
,.VAR67 ( VAR67 )
,.VAR132 ( VAR132 )
,.VAR34 ( VAR87 )
,.VAR43 ( VAR43 )
,.VAR3 ( VAR3 ))
VAR81
(.VAR44 ( VAR44 )
,.VAR78 ( VAR116 )
,.VAR46 ( VAR46 )
,.VAR96 ( VAR96 )
,.VAR94 ( VAR94 )
,.VAR129 ( VAR129 )
,.VAR168 ( VAR168 )
,.VAR79 ( VAR79 )
,.VAR8 ( VAR8 )
,.VAR47 ( VAR47 )
,.VAR141 ( VAR141 )
,.VAR31 ( VAR31 )
,.VAR37 ( VAR37 )
,.VAR14 ( VAR14 )
,.VAR91 ( VAR91 )
,.VAR29 ( VAR29 )
,.VAR41 ( VAR41 )
,.VAR154 ( VAR154 )
,.VAR130 ( VAR130 )
,.VAR15 ( VAR15 )
,.VAR6 ( VAR135 )
,.VAR120 ( VAR28 )
,.VAR105 ( VAR167 )
,.VAR33 ( VAR82 )
,.VAR118 ( VAR64 )
,.VAR156 ( VAR100 )
,.VAR142 ( VAR69 )
,.VAR117 ( VAR83 )
,.VAR111 ( VAR102 )
,.VAR74 ( VAR171 )
,.VAR128 ( VAR89 )
,.VAR32 ( VAR23 )
,.VAR145 ( VAR70 )
,.VAR75 ( VAR158 )
,.VAR57 ( VAR9 )
,.VAR45 ( VAR61 )
,.VAR77 ( VAR152 )
,.VAR11 ( VAR11 )
,.VAR153 ( VAR153 ));
VAR39 #(.VAR127(VAR127)) VAR149
(.VAR138 ( VAR135 )
,.VAR68 ( VAR68 )
,.VAR18 ( VAR28 )
,.VAR131 ( VAR167 )
,.VAR147 ( VAR82 )
,.VAR119 ( VAR64 )
,.VAR76 ( VAR100 )
,.VAR160 ( VAR69 )
,.VAR113 ( VAR83 )
,.VAR49 ( VAR102 )
,.VAR50 ( VAR171 )
,.VAR66 ( VAR89 )
,.VAR163 ( VAR23 )
,.VAR108 ( VAR70 )
,.VAR157 ( VAR158 )
,.VAR104 ( VAR9 )
,.VAR85 ( VAR61 )
,.VAR148 ( VAR152 )
,.VAR51 ( VAR53 )
,.VAR121 ( VAR92 )
,.VAR35 ( VAR139 )
,.VAR125 ( VAR162 )
,.VAR20 ( VAR13 )
,.VAR90 ( VAR146 )
,.VAR4 ( VAR25 )
,.VAR54 ( VAR48 )
,.VAR1 ( VAR164 )
,.VAR107 ( VAR123 )
,.VAR99 ( VAR150 )
,.VAR110 ( VAR106 )
,.VAR80 ( VAR24 )
,.VAR124 ( VAR103 )
,.VAR84 ( VAR58 )
,.VAR114 ( VAR65 )
,.VAR137 ( VAR42 )
,.VAR27 ( VAR17 )
,.VAR97 ( VAR109 )
,.VAR60 ( VAR63 )
,.VAR21 ( ~VAR42 )
,.VAR52 ( VAR170 )
,.VAR71 ( VAR136 )
,.VAR30 ( VAR151 )
,.VAR88 ( VAR11.VAR88 ));
endmodule
|
bsd-3-clause
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/dlxtp/sky130_fd_sc_hd__dlxtp.behavioral.pp.v
| 1,841 |
module MODULE1 (
VAR10 ,
VAR3 ,
VAR8,
VAR4,
VAR1,
VAR11 ,
VAR7
);
output VAR10 ;
input VAR3 ;
input VAR8;
input VAR4;
input VAR1;
input VAR11 ;
input VAR7 ;
wire VAR13 ;
wire VAR14;
wire VAR5 ;
reg VAR2 ;
wire VAR12 ;
VAR15 VAR6 (VAR13 , VAR5, VAR14, VAR2, VAR4, VAR1);
buf VAR9 (VAR10 , VAR13 );
assign VAR12 = ( VAR4 === 1'b1 );
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_io
|
cells/top_sio_macro/sky130_fd_io__top_sio_macro.symbol.v
| 2,860 |
module MODULE1 (
input VAR41 ,
input [1:0] VAR16 ,
output [1:0] VAR29 ,
input [1:0] VAR4 ,
output [1:0] VAR26 ,
input [1:0] VAR8 ,
inout [1:0] VAR28 ,
inout [1:0] VAR36 ,
inout [1:0] VAR15 ,
inout [1:0] VAR39 ,
inout VAR14 ,
inout VAR24 ,
input [2:0] VAR3 ,
input [2:0] VAR34 ,
input VAR42 ,
input VAR22 ,
input [1:0] VAR12 ,
input VAR21 ,
input [1:0] VAR7 ,
input [1:0] VAR18 ,
input VAR6 ,
input [1:0] VAR19 ,
input [2:0] VAR37 ,
input [1:0] VAR11 ,
input [1:0] VAR30 ,
input VAR10 ,
input [1:0] VAR40 ,
input VAR9,
inout VAR13 ,
input VAR31 ,
inout VAR32 ,
output [1:0] VAR27
);
supply1 VAR2 ;
supply1 VAR25 ;
supply1 VAR5 ;
supply1 VAR1 ;
supply1 VAR23;
supply0 VAR20 ;
supply0 VAR17 ;
supply0 VAR38;
supply1 VAR33;
supply0 VAR35 ;
endmodule
|
apache-2.0
|
tommythorn/yari
|
Icarus/rtl/pll.v
| 1,210 |
module MODULE2(input wire VAR5,
output wire VAR1,
output wire VAR2,
output wire VAR3,
output wire VAR4);
assign VAR1 = VAR5;
assign VAR2 = VAR5;
assign VAR3 = 1;
assign VAR4 = VAR5;
endmodule
module MODULE1(input wire VAR5,
output wire VAR1,
output wire VAR2,
output wire VAR3,
output wire VAR4);
assign VAR1 = VAR5;
assign VAR2 = VAR5;
assign VAR3 = 1;
assign VAR4 = VAR5;
endmodule
|
gpl-2.0
|
ShepardSiegel/ocpi
|
coregen/pcie_4243_axi_k7_x8_250/source/pcie_7x_v1_3_pcie_pipe_misc.v
| 8,299 |
module MODULE1 #
(
parameter VAR1 = 0 )
(
input wire VAR12 , input wire VAR24 , input wire VAR23 , input wire VAR10 , input wire [2:0] VAR9 , input wire VAR21 ,
output wire VAR30 , output wire VAR7 , output wire VAR28 , output wire VAR29 , output wire [2:0] VAR8 , output wire VAR6 ,
input wire VAR15 , input wire VAR13 );
parameter VAR4 = 1;
reg VAR17 ;
reg VAR27 ;
reg VAR25 ;
reg VAR31 ;
reg [2:0] VAR18 ;
reg VAR3 ;
reg VAR22 ;
reg VAR2 ;
reg VAR19 ;
reg VAR11 ;
reg [2:0] VAR16 ;
reg VAR14 ;
generate
if (VAR1 == 0) begin : VAR26
assign VAR30 = VAR12;
assign VAR7 = VAR24;
assign VAR28 = VAR23;
assign VAR29 = VAR10;
assign VAR8 = VAR9;
assign VAR6 = VAR21;
end else if (VAR1 == 1) begin : VAR20
always @(posedge VAR15) begin
if (VAR13)
begin
end
else
begin
end
end
assign VAR30 = VAR17;
assign VAR7 = VAR27;
assign VAR28 = VAR25;
assign VAR29 = VAR31;
assign VAR8 = VAR18;
assign VAR6 = VAR3;
end else if (VAR1 == 2) begin : VAR5
always @(posedge VAR15) begin
if (VAR13)
begin
end
else
begin
end
end
assign VAR30 = VAR22;
assign VAR7 = VAR2;
assign VAR28 = VAR19;
assign VAR29 = VAR11;
assign VAR8 = VAR16;
assign VAR6 = VAR14;
end
endgenerate
endmodule
|
lgpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/o2111ai/sky130_fd_sc_hs__o2111ai.symbol.v
| 1,365 |
module MODULE1 (
input VAR5,
input VAR6,
input VAR7,
input VAR2,
input VAR8,
output VAR1
);
supply1 VAR4;
supply0 VAR3;
endmodule
|
apache-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/addf/gf180mcu_fd_sc_mcu9t5v0__addf_4.behavioral.pp.v
| 3,162 |
module MODULE1( VAR6, VAR4, VAR7, VAR2, VAR1, VAR9, VAR5 );
input VAR4, VAR2, VAR7;
inout VAR9, VAR5;
output VAR1, VAR6;
VAR10 VAR3(.VAR6(VAR6),.VAR4(VAR4),.VAR7(VAR7),.VAR2(VAR2),.VAR1(VAR1),.VAR9(VAR9),.VAR5(VAR5));
VAR10 VAR8(.VAR6(VAR6),.VAR4(VAR4),.VAR7(VAR7),.VAR2(VAR2),.VAR1(VAR1),.VAR9(VAR9),.VAR5(VAR5));
|
apache-2.0
|
litex-hub/pythondata-cpu-blackparrot
|
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_decoder_dor.v
| 2,938 |
module MODULE1
,parameter VAR28=VAR29
,parameter VAR22=0 , parameter int VAR2[VAR28:0] = '{ 5, 4, 0 }
, parameter VAR11=2*VAR29+1
)
(input [VAR2[VAR29]-1:0] VAR4
, input [VAR2[VAR29]-1:0] VAR12
, output [VAR11-1:0] VAR34
);
genvar VAR27;
logic [VAR29-1:0] VAR18, VAR23, VAR5;
for (VAR27 = 0; VAR27 < VAR29; VAR27=VAR27+1)
begin: VAR31
localparam VAR6 = VAR2[VAR27+1];
localparam VAR13 = VAR2[VAR27];
localparam VAR9 = VAR6 - VAR13;
wire [VAR9-1:0] VAR16 = VAR4[VAR6-1:VAR13];
wire [VAR9-1:0] VAR19 = VAR12[VAR6-1:VAR13];
assign VAR18[VAR27] = (VAR16 == VAR19);
assign VAR23[VAR27] = (VAR16 < VAR19);
assign VAR5[VAR27] = ~VAR18[VAR27] & ~VAR23[VAR27];
end
assign VAR34[0] = & VAR18;
if (VAR22)
begin: VAR8
assign VAR34[(VAR29-1)*2+1] = VAR23[VAR29-1];
assign VAR34[(VAR29-1)*2+1+1] = VAR5[VAR29-1];
if (VAR29 > 1)
begin : VAR14
for (VAR27 = (VAR29-1)-1; VAR27 >= 0; VAR27--)
begin: VAR32
assign VAR34[VAR27*2+1] = &VAR18[VAR29-1:VAR27+1] & VAR23[VAR27];
assign VAR34[VAR27*2+1+1] = &VAR18[VAR29-1:VAR27+1] & VAR5[VAR27];
end
end
end else
begin: VAR1
assign VAR34[1] = VAR23[0]; assign VAR34[2] = VAR5[0];
for (VAR27 = 1; VAR27 < VAR29; VAR27++)
begin: VAR24
assign VAR34[VAR27*2+1] = (&VAR18[VAR27-1:0]) & VAR23[VAR27];
assign VAR34[VAR27*2+1+1] = (&VAR18[VAR27-1:0]) & VAR5[VAR27];
end
end
VAR25 assert(VAR20::VAR7 == 0
&& VAR20::VAR33 == 1
&& VAR20::VAR21 == 2
&& VAR20::VAR17 == 3
&& VAR20::VAR26 == 4) else ("%VAR30: VAR20 VAR15 VAR3 VAR10 with this module");
endmodule
|
bsd-3-clause
|
tmolteno/TART
|
hardware/FPGA/ddr_controller/spartan3/rtl/addr_gen.v
| 5,993 |
module MODULE1(
clk,
rst,
VAR3,
VAR7,
VAR14,
VAR5,
VAR2,
VAR11,
VAR10,
VAR4
);
input clk;
input rst;
input VAR3;
input VAR7;
input VAR2;
output[23:0] VAR14;
output[7:0] VAR5;
output[4:0] VAR11;
output VAR10;
output VAR4;
reg VAR4;
reg [7:0] VAR8;
reg [1:0] VAR15;
reg VAR1;
reg VAR13;
reg VAR6;
reg VAR9;
wire [1:0] VAR12;
assign VAR12 = 2'b00;
assign VAR5 = VAR8;
assign VAR14 = {14'b00000000000100, VAR8, VAR12};
assign VAR10 = VAR13;
assign VAR11 = 5'd0;
always @ (posedge clk)
begin
if (rst == 1'b1 || VAR3 == 1'b1)
begin
VAR8 <= 8'h00;
VAR15 <= 2'b00;
end
else if (VAR7 == 1'b1)
begin
if (VAR15 == 2'b01)
VAR15 <= 2'b00;
end
else
VAR15 <= VAR15 + 1'b1;
if ((VAR2 == 1'b1) && (VAR15 == 2'b01))
begin
if (VAR8 == 8'hf0)
VAR8 <= 8'h00;
end
else
VAR8 <= VAR8 + 4'h4;
end
else
VAR8 <= VAR8;
end
end
always @ (posedge clk)
begin
if (rst == 1'b1)
begin
VAR1 <= 1'b0;
VAR13 <= 1'b0;
end
else if (VAR8 == 8'b11101100)
begin
VAR1 <= 1'b1;
VAR13 <= VAR1;
end
else
begin
VAR1 <= 1'b0;
VAR13 <= VAR1;
end
end
always @ (posedge clk)
begin
if (rst == 1'b1)
VAR6 <= 1'b0;
end
else if (VAR8 == 8'b11101100) VAR6 <= 1'b1;
else
VAR6 <= 1'b0;
end
always @ (posedge clk)
begin
if (rst == 1'b1)
begin
VAR9 <= 1'b0;
VAR4 <= 1'b0;
end
else
begin
VAR9 <= VAR6;
VAR4 <= VAR9;
end
end
endmodule
|
lgpl-3.0
|
vipinkmenon/fpgadriver
|
src/hw/fpga/source/enet_if/ethernet_controller.v
| 17,677 |
module MODULE1 #(parameter VAR117 = 48'h001F293A10FD,VAR105 = 48'hAABBCCDDEEFF,VAR123 = 16'd1024,VAR106=48'hAABBCCDDEEFF)
(
input VAR32,
input VAR14,
input VAR82,
input VAR24,
output VAR80,
input VAR60,
output [7:0] VAR44,
output VAR79,
output VAR76,
output VAR21,
input [7:0] VAR49,
input VAR40,
input VAR8,
input VAR65,
input VAR75,
input VAR41,
input VAR15,
output VAR12,
input VAR28,
output VAR103,
output VAR4,
output VAR69,
output VAR120,
output [7:0] VAR89,
output VAR98,
output VAR31,
input VAR17,
output VAR2,
output VAR34,
input [7:0] VAR39,
input VAR81,
output VAR99,
input VAR72,
output VAR54
);
parameter VAR29 = 5'h7;
wire VAR85;
wire VAR61;
wire VAR1;
wire VAR16;
wire VAR36;
reg VAR73;
wire VAR88;
reg VAR53 = 0;
reg VAR86 = 0;
wire VAR74;
reg VAR112 = 0;
reg VAR109 = 0;
wire VAR10;
reg [5:0] VAR100;
wire VAR104;
wire VAR70;
reg VAR22;
wire [27:0] VAR20;
reg [27:0] VAR19;
reg VAR51 = 0;
wire VAR5;
reg VAR26 = 0;
reg [29:0] VAR47;
wire VAR110;
reg VAR78;
wire [31:0] VAR13;
reg [31:0] VAR56;
reg VAR30 = 0;
wire VAR71;
reg VAR101 = 0;
reg [33:0] VAR38;
wire [79:0] VAR108;
wire [79:0] VAR111;
wire [7:0] VAR63 = 0;
wire VAR7;
wire VAR95;
wire VAR94;
wire [7:0] VAR58;
wire VAR119;
wire VAR96;
wire VAR66;
wire VAR83;
wire [7:0] VAR97;
wire VAR87;
wire VAR116;
wire VAR46;
assign VAR85 = VAR82;
assign VAR61 = VAR24;
VAR62 VAR115 (
.clk (VAR85),
.enable (VAR14),
.VAR52 (VAR32),
.VAR33 (VAR10)
);
assign VAR104 = !VAR10;
assign VAR66 = VAR85;
assign VAR7 = VAR85;
VAR62 VAR59 (
.clk (VAR85),
.enable (VAR14),
.VAR52 (VAR32),
.VAR33 (VAR74)
);
always @(posedge VAR85)
begin
if (VAR74) begin
VAR112 <= 0;
VAR109 <= 0;
end
else begin
VAR112 <= 1;
VAR109 <= VAR112;
end
end
VAR62 VAR55 (
.clk (VAR85),
.enable (VAR14),
.VAR52 (VAR32),
.VAR33 (VAR88)
);
always @(posedge VAR85)
begin
if (VAR88) begin
VAR53 <= 0;
VAR86 <= 0;
end
else begin
VAR53 <= 1;
VAR86 <= VAR53;
end
end
always @(posedge VAR85)
begin
if (!VAR104) begin
VAR73 <= 0;
VAR100 <= 0;
end
else begin
if (!(&VAR100)) begin
VAR100 <= VAR100 + 1;
end
else begin
VAR73 <= 1;
end
end
end
assign VAR80 = VAR73;
assign VAR83 = VAR109;
assign VAR95 = VAR109;
VAR35 VAR11 (
.VAR9 (VAR85),
.VAR64 (VAR61),
.VAR16 (),
.VAR43 (),
.VAR20 (),
.VAR70 (),
.VAR7 (VAR7),
.VAR95 (VAR95),
.VAR58 (VAR58),
.VAR94 (VAR94),
.VAR96 (VAR96),
.VAR119 (VAR119),
.VAR36 (),
.VAR45 (),
.VAR63 (VAR63),
.VAR13 (),
.VAR110 (),
.VAR66 (VAR66),
.VAR83 (VAR83),
.VAR97 (VAR97),
.VAR87 (VAR87),
.VAR46 (VAR46),
.VAR116 (VAR116),
.VAR118 (1'b0),
.VAR6 (16'd0),
.VAR44 (VAR44),
.VAR79 (VAR79),
.VAR76 (VAR76),
.VAR21 (VAR21),
.VAR49 (VAR49),
.VAR40 (VAR40),
.VAR8 (VAR8),
.VAR65 (VAR65),
.VAR75 (VAR75),
.VAR41 (VAR41),
.VAR15 (VAR15),
.VAR12 (VAR12),
.VAR28 (VAR28),
.VAR103 (VAR103),
.VAR4 (VAR4),
.VAR124 (VAR60),
.VAR18 (VAR104),
.VAR93 (1'b1),
.VAR48 (1'b1),
.VAR54 (VAR54)
);
VAR23 #(
.VAR68(VAR106)
)
VAR77
(
.VAR67(VAR7),
.VAR90(VAR95),
.VAR92(VAR94),
.VAR122(VAR58),
.VAR107(VAR119),
.VAR114(VAR96),
.VAR69(VAR69),
.VAR120(VAR120),
.VAR89(VAR89),
.VAR98(VAR98),
.VAR17(VAR17),
.VAR31(VAR31),
.VAR91(VAR60)
);
VAR121 #(
.VAR113(VAR117),
.VAR27(VAR105),
.VAR102(VAR123)
)
VAR37(
.VAR2(VAR2),
.VAR34(VAR34),
.VAR39(VAR39),
.VAR81(VAR81),
.VAR99(VAR99),
.VAR72(VAR72),
.VAR84(VAR66),
.VAR50(VAR83),
.VAR3(VAR87),
.VAR25(VAR97),
.VAR57(VAR116),
.VAR42(VAR46)
);
endmodule
|
mit
|
asicguy/gplgpu
|
hdl/lucy_tc/de3d_tc_compare.v
| 8,167 |
module MODULE1
(
input VAR32,
input VAR68,
input VAR3,
input [17:0] VAR59,
input [17:0] VAR19,
input [17:0] VAR26,
input [17:0] VAR56,
input [3:0] VAR60,
input [26:0] VAR15,
input [26:0] VAR48,
input [26:0] VAR58,
input [26:0] VAR46,
input VAR33,
input [2:0] VAR51,
input VAR70,
input VAR54,
output [3:0] VAR28,
output reg [3:0] VAR44,
output reg [3:0] VAR24,
output [7:0] VAR13
);
reg [7:0] VAR9, VAR63,
VAR4, VAR30;
wire VAR21 = VAR15[26];
wire VAR23 = VAR15[25];
wire [3:0] VAR35 = VAR15[24:21];
wire [7:0] VAR38 = VAR15[20:13];
wire VAR1 = VAR15[12];
wire [3:0] VAR20 = VAR15[11:8];
wire [7:0] VAR41 = VAR15[7:0];
wire VAR31 = VAR48[26];
wire VAR12 = VAR48[25];
wire [3:0] VAR7 = VAR48[24:21];
wire [7:0] VAR47 = VAR48[20:13];
wire VAR37 = VAR48[12];
wire [3:0] VAR69 = VAR48[11:8];
wire [7:0] VAR22 = VAR48[7:0];
wire VAR65 = VAR58[26];
wire VAR39 = VAR58[25];
wire [3:0] VAR64 = VAR58[24:21];
wire [7:0] VAR66 = VAR58[20:13];
wire VAR11 = VAR58[12];
wire [3:0] VAR36 = VAR58[11:8];
wire [7:0] VAR43 = VAR58[7:0];
wire VAR34 = VAR46[26];
wire VAR2 = VAR46[25];
wire [3:0] VAR5 = VAR46[24:21];
wire [7:0] VAR57 = VAR46[20:13];
wire VAR52 = VAR46[12];
wire [3:0] VAR62 = VAR46[11:8];
wire [7:0] VAR45 = VAR46[7:0];
wire VAR18;
wire VAR55;
wire VAR53, VAR29;
wire VAR42, VAR67;
wire VAR16, VAR8;
wire VAR6, VAR61;
wire [8:0] VAR50 = VAR19[17:9];
wire [8:0] VAR14 = VAR19[8:0];
wire [8:0] VAR17 = VAR56[17:9];
wire [8:0] VAR49 = VAR56[8:0];
wire [8:0] VAR40 = VAR59[17:9];
wire [8:0] VAR27 = VAR59[8:0];
wire [8:0] VAR10 = VAR26[17:9];
wire [8:0] VAR25 = VAR26[8:0];
always @*
begin
case (VAR51)
3:
begin
VAR63 = {2'b0,VAR14[8:6],VAR50[8:6]};
VAR9 = {2'b0,VAR27[8:6],VAR40[8:6]};
VAR30 = {2'b0,VAR49[8:6],VAR17[8:6]};
VAR4 = {2'b0,VAR25[8:6],VAR10[8:6]};
end
5:
begin
VAR63 = {VAR14[8:4],VAR50[8:6]};
VAR9 = {VAR27[8:4],VAR40[8:6]};
VAR30 = {VAR49[8:4],VAR17[8:6]};
VAR4 = {VAR25[8:4],VAR10[8:6]};
end
default:
begin
VAR63 = {1'b0,VAR14[8:5],VAR50[8:6]};
VAR9 = {1'b0,VAR27[8:5],VAR40[8:6]};
VAR30 = {1'b0,VAR49[8:5],VAR17[8:6]};
VAR4 = {1'b0,VAR25[8:5],VAR10[8:6]};
end
endcase
end
assign VAR13 = ({VAR29,VAR53,VAR67,VAR42,VAR8,VAR16,VAR61,VAR6} & {8{VAR3}});
assign VAR53 = (VAR63 == VAR41 && VAR60 == VAR20 && VAR1); assign VAR29 = (VAR63 == VAR38 && VAR60 == VAR35 && VAR23); assign VAR42 = (VAR30 == VAR22 && VAR60 == VAR69 && VAR37); assign VAR67 = (VAR30 == VAR47 && VAR60 == VAR7 && VAR12); assign VAR16 = (VAR9 == VAR43 && VAR60 == VAR36 && VAR11); assign VAR8 = (VAR9 == VAR66 && VAR60 == VAR64 && VAR39); assign VAR6 = (VAR4 == VAR45 && VAR60 == VAR62 && VAR52); assign VAR61 = (VAR4 == VAR57 && VAR60 == VAR5 && VAR2); assign VAR18 = (VAR19 == VAR59) & (VAR56 == VAR26) & (VAR19 == VAR26);
assign VAR55 = VAR70 || VAR18;
assign VAR28 = (VAR54) ? 4'b0000 :
(VAR55 && (VAR29 | VAR53)) ? 4'b0000 :
(VAR55 && !(VAR29 | VAR53)) ? 4'b1000 :
(VAR33 && !(VAR19[0] ^ VAR56[0])) ? {~(VAR29 | VAR53), 1'b0, 1'b0, 1'b0} :
(!VAR33 && !(VAR19[0] ^ VAR56[0])) ? {~(VAR29 | VAR53), 1'b0, ~(VAR8 | VAR16), 1'b0} :
(VAR33) ? {~(VAR29 | VAR53), ~(VAR67 | VAR42), 1'b0, 1'b0} :
{~(VAR29 | VAR53), ~(VAR67 | VAR42), ~(VAR8 | VAR16), ~(VAR61 | VAR6)};
always @(posedge VAR32 or negedge VAR68)
begin
if (!VAR68) VAR44 <= 0;
end
else if (VAR3) VAR44 <= {(VAR29 | VAR53 | VAR21),
(VAR67 | VAR42 | VAR31),
(VAR8 | VAR16 | VAR65),
(VAR61 | VAR6 | VAR34)};
end
always @(posedge VAR32 or negedge VAR68)
if (!VAR68)
VAR24 <= 0;
else if (VAR3 && VAR33)
VAR24 <= {(VAR29 | (~VAR53 & VAR21)),
(VAR67 | (~VAR42 & VAR31)),
(VAR29 | (~VAR53 & VAR21)),
(VAR67 | (~VAR42 & VAR31))};
else if (VAR3 && !VAR33)
VAR24 <= {(VAR29 | (~VAR53 & VAR21)),
(VAR67 | (~VAR42 & VAR31)),
(VAR8 | (~VAR16 & VAR65)),
(VAR61 | (~VAR6 & VAR34))};
endmodule
|
gpl-3.0
|
skarpenko/ultiparc
|
rtl/src/fabric2_mswitch.v
| 6,140 |
module MODULE1 #(
parameter VAR6 = 11
)
(
VAR29,
VAR24, VAR5, VAR3, VAR38, VAR15, VAR33, VAR39,
VAR42, VAR28, VAR14, VAR9, VAR1, VAR31, VAR37,
VAR17, VAR41, VAR22, VAR2, VAR43, VAR45, VAR30,
VAR26, VAR25, VAR8, VAR27, VAR13, VAR19, VAR20,
VAR44, VAR10, VAR34, VAR40, VAR11, VAR4, VAR16,
VAR46, VAR32, VAR18, VAR47, VAR35, VAR12, VAR21
);
input wire [VAR6-1:0] VAR29;
input wire [VAR23-1:0] VAR24;
input wire [2:0] VAR5;
input wire [VAR36-1:0] VAR3;
input wire [VAR7-1:0] VAR38;
output reg VAR15;
output reg [VAR36-1:0] VAR33;
output reg [1:0] VAR39;
output reg [VAR23-1:0] VAR42;
output reg [2:0] VAR28;
output reg [VAR36-1:0] VAR14;
output reg [VAR7-1:0] VAR9;
input wire VAR1;
input wire [VAR36-1:0] VAR31;
input wire [1:0] VAR37;
output reg [VAR23-1:0] VAR17;
output reg [2:0] VAR41;
output reg [VAR36-1:0] VAR22;
output reg [VAR7-1:0] VAR2;
input wire VAR43;
input wire [VAR36-1:0] VAR45;
input wire [1:0] VAR30;
output reg [VAR23-1:0] VAR26;
output reg [2:0] VAR25;
output reg [VAR36-1:0] VAR8;
output reg [VAR7-1:0] VAR27;
input wire VAR13;
input wire [VAR36-1:0] VAR19;
input wire [1:0] VAR20;
output reg [VAR23-1:0] VAR44;
output reg [2:0] VAR10;
output reg [VAR36-1:0] VAR34;
output reg [VAR7-1:0] VAR40;
input wire VAR11;
input wire [VAR36-1:0] VAR4;
input wire [1:0] VAR16;
output reg [VAR23-1:0] VAR46;
output reg [2:0] VAR32;
output reg [VAR36-1:0] VAR18;
output reg [VAR7-1:0] VAR47;
input wire VAR35;
input wire [VAR36-1:0] VAR12;
input wire [1:0] VAR21;
always @(*)
begin
VAR15 = 1'b0;
VAR33 = { (VAR36){1'b0} };
VAR39 = 2'b00;
VAR42 = { (VAR23){1'b0} };
VAR28 = 3'b000;
VAR14 = { (VAR36){1'b0} };
VAR9 = { (VAR7){1'b0} };
VAR17 = { (VAR23){1'b0} };
VAR41 = 3'b000;
VAR22 = { (VAR36){1'b0} };
VAR2 = { (VAR7){1'b0} };
VAR26 = { (VAR23){1'b0} };
VAR25 = 3'b000;
VAR8 = { (VAR36){1'b0} };
VAR27 = { (VAR7){1'b0} };
VAR44 = { (VAR23){1'b0} };
VAR10 = 3'b000;
VAR34 = { (VAR36){1'b0} };
VAR40 = { (VAR7){1'b0} };
VAR46 = { (VAR23){1'b0} };
VAR32 = 3'b000;
VAR18 = { (VAR36){1'b0} };
VAR47 = { (VAR7){1'b0} };
case(VAR29)
'd1: begin
VAR17 = VAR24;
VAR41 = VAR5;
VAR22 = VAR3;
VAR2 = VAR38;
VAR15 = VAR43;
VAR33 = VAR45;
VAR39 = VAR30;
end
'd2: begin
VAR26 = VAR24;
VAR25 = VAR5;
VAR8 = VAR3;
VAR27 = VAR38;
VAR15 = VAR13;
VAR33 = VAR19;
VAR39 = VAR20;
end
'd3: begin
VAR44 = VAR24;
VAR10 = VAR5;
VAR34 = VAR3;
VAR40 = VAR38;
VAR15 = VAR11;
VAR33 = VAR4;
VAR39 = VAR16;
end
'd4: begin
VAR46 = VAR24;
VAR32 = VAR5;
VAR18 = VAR3;
VAR47 = VAR38;
VAR15 = VAR35;
VAR33 = VAR12;
VAR39 = VAR21;
end
default: begin
VAR42 = VAR24;
VAR28 = VAR5;
VAR14 = VAR3;
VAR9 = VAR38;
VAR15 = VAR1;
VAR33 = VAR31;
VAR39 = VAR37;
end
endcase
end
endmodule
|
bsd-2-clause
|
EPiCS/soundgates
|
hardware/design/reference/cf_lib/edk/pcores/axi_ad9361_v1_00_a/hdl/verilog/axi_ad9361_rx.v
| 24,663 |
module MODULE1 (
VAR105,
VAR110,
VAR54,
VAR75,
VAR101,
VAR70,
VAR3,
VAR68,
VAR8,
VAR99,
VAR44,
VAR4,
VAR53,
VAR66,
VAR72,
VAR31,
VAR1,
VAR111,
VAR100,
VAR117,
VAR2,
VAR141,
VAR23,
VAR129,
VAR63,
VAR142,
VAR77,
VAR89,
VAR27,
VAR81,
VAR56,
VAR62,
VAR11,
VAR147,
VAR136,
VAR98,
VAR88,
VAR29,
VAR131);
parameter VAR114 = 0;
parameter VAR15 = 0;
parameter VAR84 = 32'h00060061;
input VAR105;
input VAR110;
input VAR54;
input VAR75;
input [11:0] VAR101;
input VAR70;
input VAR3;
input [11:0] VAR68;
input VAR8;
input VAR99;
input [11:0] VAR44;
input VAR4;
input VAR53;
input [11:0] VAR66;
input VAR72;
output VAR31;
input VAR1;
output VAR111;
output VAR100;
output VAR117;
output [ 7:0] VAR2;
output [ 4:0] VAR141;
input [ 4:0] VAR23;
input VAR129;
input VAR63;
output VAR142;
output [63:0] VAR77;
input VAR89;
output VAR27;
input VAR81;
input VAR56;
input VAR62;
input VAR11;
input [13:0] VAR147;
input [31:0] VAR136;
output [31:0] VAR98;
output VAR88;
output [ 1:0] VAR29;
output [116:0] VAR131;
reg [47:0] VAR42 = 'd0;
reg [47:0] VAR95 = 'd0;
reg [47:0] VAR12 = 'd0;
reg [47:0] VAR76 = 'd0;
reg VAR122 = 'd0;
reg VAR7 = 'd0;
reg VAR46 = 'd0;
reg VAR25 = 'd0;
reg [63:0] VAR85 = 'd0;
reg [63:0] VAR150 = 'd0;
reg [63:0] VAR5 = 'd0;
reg [63:0] VAR124 = 'd0;
reg [63:0] VAR151 = 'd0;
reg [ 1:0] VAR115 = 'd0;
reg VAR58 = 'd0;
reg VAR113 = 'd0;
reg VAR107 = 'd0;
reg [31:0] VAR98 = 'd0;
reg VAR88 = 'd0;
wire VAR64;
wire VAR10;
wire [15:0] VAR65;
wire VAR149;
wire VAR138;
wire VAR41;
wire [15:0] VAR57;
wire VAR43;
wire VAR69;
wire VAR51;
wire VAR50;
wire [31:0] VAR32;
wire VAR137;
wire VAR6;
wire [15:0] VAR127;
wire VAR13;
wire VAR128;
wire VAR79;
wire VAR49;
wire [31:0] VAR148;
wire VAR20;
wire [15:0] VAR119;
wire VAR52;
wire VAR87;
wire VAR144;
wire [15:0] VAR9;
wire VAR24;
wire VAR126;
wire VAR19;
wire VAR78;
wire [31:0] VAR47;
wire VAR83;
wire VAR30;
wire [15:0] VAR106;
wire VAR121;
wire VAR48;
wire VAR139;
wire VAR104;
wire [31:0] VAR135;
wire VAR112;
wire [31:0] VAR40;
wire VAR21;
assign VAR29[0] = VAR10;
assign VAR29[1] = VAR110;
assign VAR131[ 15: 0] = VAR57;
assign VAR131[ 31: 16] = VAR127;
assign VAR131[ 47: 32] = VAR9;
assign VAR131[ 63: 48] = VAR106;
assign VAR131[ 64: 64] = VAR41;
assign VAR131[ 65: 65] = VAR6;
assign VAR131[ 66: 66] = VAR144;
assign VAR131[ 67: 67] = VAR30;
assign VAR131[ 79: 68] = VAR101;
assign VAR131[ 91: 80] = VAR68;
assign VAR131[103: 92] = VAR44;
assign VAR131[115:104] = VAR66;
assign VAR131[116:116] = VAR110;
assign VAR142 = VAR122;
assign VAR27 = VAR46;
assign VAR77 = VAR85;
assign VAR10 = VAR41 & VAR6 &
VAR144 & VAR30;
always @(posedge VAR105) begin
if (VAR10 == 1'b1) begin
VAR7 <= VAR115[0] | VAR115[1];
VAR25 <= VAR115 == 1'b01;
VAR42[47:32] <= VAR106;
VAR42[31:16] <= VAR9;
VAR42[15: 0] <= VAR127;
VAR95[47:32] <= VAR106;
VAR95[31:16] <= VAR9;
VAR95[15: 0] <= VAR57;
VAR12[47:32] <= VAR106;
VAR12[31:16] <= VAR127;
VAR12[15: 0] <= VAR57;
VAR76[47:32] <= VAR9;
VAR76[31:16] <= VAR127;
VAR76[15: 0] <= VAR57;
case (VAR115)
2'b11: begin
VAR150[63:48] <= VAR106;
VAR150[47:32] <= VAR9;
VAR150[31:16] <= VAR127;
VAR150[15: 0] <= VAR42[47:32];
VAR5[63:48] <= VAR106;
VAR5[47:32] <= VAR9;
VAR5[31:16] <= VAR57;
VAR5[15: 0] <= VAR95[47:32];
VAR124[63:48] <= VAR106;
VAR124[47:32] <= VAR127;
VAR124[31:16] <= VAR57;
VAR124[15: 0] <= VAR12[47:32];
VAR151[63:48] <= VAR9;
VAR151[47:32] <= VAR127;
VAR151[31:16] <= VAR57;
VAR151[15: 0] <= VAR76[47:32];
end
2'b10: begin
VAR150[63:48] <= VAR9;
VAR150[47:32] <= VAR127;
VAR150[31:16] <= VAR42[47:32];
VAR150[15: 0] <= VAR42[31:16];
VAR5[63:48] <= VAR9;
VAR5[47:32] <= VAR57;
VAR5[31:16] <= VAR95[47:32];
VAR5[15: 0] <= VAR95[31:16];
VAR124[63:48] <= VAR127;
VAR124[47:32] <= VAR57;
VAR124[31:16] <= VAR12[47:32];
VAR124[15: 0] <= VAR12[31:16];
VAR151[63:48] <= VAR127;
VAR151[47:32] <= VAR57;
VAR151[31:16] <= VAR76[47:32];
VAR151[15: 0] <= VAR76[31:16];
end
2'b01: begin
VAR150[63:48] <= VAR127;
VAR150[47:32] <= VAR42[47:32];
VAR150[31:16] <= VAR42[31:16];
VAR150[15: 0] <= VAR42[15: 0];
VAR5[63:48] <= VAR57;
VAR5[47:32] <= VAR95[47:32];
VAR5[31:16] <= VAR95[31:16];
VAR5[15: 0] <= VAR95[15: 0];
VAR124[63:48] <= VAR57;
VAR124[47:32] <= VAR12[47:32];
VAR124[31:16] <= VAR12[31:16];
VAR124[15: 0] <= VAR12[15: 0];
VAR151[63:48] <= VAR57;
VAR151[47:32] <= VAR76[47:32];
VAR151[31:16] <= VAR76[31:16];
VAR151[15: 0] <= VAR76[15: 0];
end
default:begin
VAR150[63:48] <= 16'hdead;
VAR150[47:32] <= 16'hdead;
VAR150[31:16] <= 16'hdead;
VAR150[15: 0] <= 16'hdead;
VAR5[63:48] <= 16'hdead;
VAR5[47:32] <= 16'hdead;
VAR5[31:16] <= 16'hdead;
VAR5[15: 0] <= 16'hdead;
VAR124[63:48] <= 16'hdead;
VAR124[47:32] <= 16'hdead;
VAR124[31:16] <= 16'hdead;
VAR124[15: 0] <= 16'hdead;
VAR151[63:48] <= 16'hdead;
VAR151[47:32] <= 16'hdead;
VAR151[31:16] <= 16'hdead;
VAR151[15: 0] <= 16'hdead;
end
endcase
end
end
always @(posedge VAR105) begin
if (VAR10 == 1'b1) begin
case ({VAR121, VAR24, VAR13, VAR43})
4'b1111: begin
VAR122 <= 1'b1;
VAR46 <= 1'b1;
VAR85[63:48] <= VAR106;
VAR85[47:32] <= VAR9;
VAR85[31:16] <= VAR127;
VAR85[15: 0] <= VAR57;
end
4'b1110: begin
VAR46 <= VAR25;
VAR122 <= VAR7;
VAR85 <= VAR150;
end
4'b1101: begin
VAR46 <= VAR25;
VAR122 <= VAR7;
VAR85 <= VAR5;
end
4'b1100: begin
VAR46 <= 1'b1;
VAR122 <= VAR115[0];
VAR85[63:48] <= VAR106;
VAR85[47:32] <= VAR9;
VAR85[31:16] <= VAR85[63:48];
VAR85[15: 0] <= VAR85[47:32];
end
4'b1011: begin
VAR46 <= VAR25;
VAR122 <= VAR7;
VAR85 <= VAR124;
end
4'b1010: begin
VAR46 <= 1'b1;
VAR122 <= VAR115[0];
VAR85[63:48] <= VAR106;
VAR85[47:32] <= VAR127;
VAR85[31:16] <= VAR85[63:48];
VAR85[15: 0] <= VAR85[47:32];
end
4'b1001: begin
VAR46 <= 1'b1;
VAR122 <= VAR115[0];
VAR85[63:48] <= VAR106;
VAR85[47:32] <= VAR57;
VAR85[31:16] <= VAR85[63:48];
VAR85[15: 0] <= VAR85[47:32];
end
4'b1000: begin
VAR46 <= 1'b1;
VAR122 <= VAR115[1] & VAR115[0];
VAR85[63:48] <= VAR106;
VAR85[47:32] <= VAR85[63:48];
VAR85[31:16] <= VAR85[47:32];
VAR85[15: 0] <= VAR85[31:16];
end
4'b0111: begin
VAR46 <= VAR25;
VAR122 <= VAR7;
VAR85 <= VAR151;
end
4'b0110: begin
VAR46 <= 1'b1;
VAR122 <= VAR115[0];
VAR85[63:48] <= VAR9;
VAR85[47:32] <= VAR127;
VAR85[31:16] <= VAR85[63:48];
VAR85[15: 0] <= VAR85[47:32];
end
4'b0101: begin
VAR46 <= 1'b1;
VAR122 <= VAR115[0];
VAR85[63:48] <= VAR9;
VAR85[47:32] <= VAR57;
VAR85[31:16] <= VAR85[63:48];
VAR85[15: 0] <= VAR85[47:32];
end
4'b0100: begin
VAR46 <= 1'b1;
VAR122 <= VAR115[1] & VAR115[0];
VAR85[63:48] <= VAR9;
VAR85[47:32] <= VAR85[63:48];
VAR85[31:16] <= VAR85[47:32];
VAR85[15: 0] <= VAR85[31:16];
end
4'b0011: begin
VAR46 <= 1'b1;
VAR122 <= VAR115[0];
VAR85[63:48] <= VAR127;
VAR85[47:32] <= VAR57;
VAR85[31:16] <= VAR85[63:48];
VAR85[15: 0] <= VAR85[47:32];
end
4'b0010: begin
VAR46 <= 1'b1;
VAR122 <= VAR115[1] & VAR115[0];
VAR85[63:48] <= VAR127;
VAR85[47:32] <= VAR85[63:48];
VAR85[31:16] <= VAR85[47:32];
VAR85[15: 0] <= VAR85[31:16];
end
4'b0001: begin
VAR46 <= 1'b1;
VAR122 <= VAR115[1] & VAR115[0];
VAR85[63:48] <= VAR57;
VAR85[47:32] <= VAR85[63:48];
VAR85[31:16] <= VAR85[47:32];
VAR85[15: 0] <= VAR85[31:16];
end
default: begin
VAR122 <= 1'b1;
VAR85[63:48] <= 16'hdead;
VAR85[47:32] <= 16'hdead;
VAR85[31:16] <= 16'hdead;
VAR85[15: 0] <= 16'hdead;
end
endcase
VAR115 <= VAR115 + 1'b1;
end else begin
VAR122 <= 1'b0;
VAR85 <= VAR85;
VAR115 <= VAR115;
end
end
always @(negedge VAR81 or posedge VAR56) begin
if (VAR81 == 0) begin
VAR58 <= 'd0;
VAR113 <= 'd0;
VAR107 <= 'd0;
VAR98 <= 'd0;
VAR88 <= 'd0;
end else begin
VAR58 <= VAR69 | VAR128 |
VAR126 | VAR48;
VAR113 <= VAR51 | VAR79 |
VAR19 | VAR139;
VAR107 <= VAR50 | VAR49 |
VAR78 | VAR104;
VAR98 <= VAR40 | VAR32 | VAR148 |
VAR47 | VAR135;
VAR88 <= VAR21 | VAR137 | VAR20 |
VAR83 | VAR112;
end
end
VAR45 #(
.VAR86(0),
.VAR16(0),
.VAR114 (VAR114))
VAR90 (
.VAR105 (VAR105),
.VAR64 (VAR64),
.VAR110 (VAR110),
.VAR97 (VAR54),
.VAR94 (VAR75),
.VAR80 (VAR101),
.VAR36 (VAR68),
.VAR28 (1'b0),
.VAR35 (VAR65),
.VAR26 (VAR149),
.VAR118 (VAR138),
.VAR102 (16'd0),
.VAR37 (1'd0),
.VAR74 (1'd0),
.VAR122 (VAR41),
.VAR85 (VAR57),
.VAR14 (VAR43),
.VAR130 (VAR69),
.VAR38 (VAR51),
.VAR132 (VAR50),
.VAR81 (VAR81),
.VAR56 (VAR56),
.VAR62 (VAR62),
.VAR11 (VAR11),
.VAR147 (VAR147),
.VAR136 (VAR136),
.VAR98 (VAR32),
.VAR88 (VAR137));
VAR45 #(
.VAR86(1),
.VAR16(1),
.VAR114 (VAR114))
VAR109 (
.VAR105 (VAR105),
.VAR64 (VAR64),
.VAR110 (VAR110),
.VAR97 (VAR70),
.VAR94 (VAR3),
.VAR80 (VAR68),
.VAR36 (12'd0),
.VAR28 (1'b0),
.VAR35 (),
.VAR26 (),
.VAR118 (),
.VAR102 (VAR65),
.VAR37 (VAR149),
.VAR74 (VAR138),
.VAR122 (VAR6),
.VAR85 (VAR127),
.VAR14 (VAR13),
.VAR130 (VAR128),
.VAR38 (VAR79),
.VAR132 (VAR49),
.VAR81 (VAR81),
.VAR56 (VAR56),
.VAR62 (VAR62),
.VAR11 (VAR11),
.VAR147 (VAR147),
.VAR136 (VAR136),
.VAR98 (VAR148),
.VAR88 (VAR20));
VAR45 #(
.VAR86(0),
.VAR16(2),
.VAR114 (VAR114))
VAR133 (
.VAR105 (VAR105),
.VAR64 (VAR64),
.VAR110 (VAR110),
.VAR97 (VAR8),
.VAR94 (VAR99),
.VAR80 (VAR44),
.VAR36 (VAR66),
.VAR28 (1'b0),
.VAR35 (VAR119),
.VAR26 (VAR52),
.VAR118 (VAR87),
.VAR102 (16'd0),
.VAR37 (1'd0),
.VAR74 (1'd0),
.VAR122 (VAR144),
.VAR85 (VAR9),
.VAR14 (VAR24),
.VAR130 (VAR126),
.VAR38 (VAR19),
.VAR132 (VAR78),
.VAR81 (VAR81),
.VAR56 (VAR56),
.VAR62 (VAR62),
.VAR11 (VAR11),
.VAR147 (VAR147),
.VAR136 (VAR136),
.VAR98 (VAR47),
.VAR88 (VAR83));
VAR45 #(
.VAR86(1),
.VAR16(3),
.VAR114 (VAR114))
VAR143 (
.VAR105 (VAR105),
.VAR64 (VAR64),
.VAR110 (VAR110),
.VAR97 (VAR4),
.VAR94 (VAR53),
.VAR80 (VAR66),
.VAR36 (12'd0),
.VAR28 (1'b0),
.VAR35 (),
.VAR26 (),
.VAR118 (),
.VAR102 (VAR119),
.VAR37 (VAR52),
.VAR74 (VAR87),
.VAR122 (VAR30),
.VAR85 (VAR106),
.VAR14 (VAR121),
.VAR130 (VAR48),
.VAR38 (VAR139),
.VAR132 (VAR104),
.VAR81 (VAR81),
.VAR56 (VAR56),
.VAR62 (VAR62),
.VAR11 (VAR11),
.VAR147 (VAR147),
.VAR136 (VAR136),
.VAR98 (VAR135),
.VAR88 (VAR112));
VAR18 #(
.VAR15 (VAR15),
.VAR84 (VAR84)
) VAR123 (
.VAR125 (),
.VAR105 (VAR105),
.VAR64 (VAR64),
.VAR31 (VAR31),
.VAR17 (),
.VAR96 (),
.VAR72 (VAR72),
.VAR33 (VAR58),
.VAR71 (VAR113),
.VAR73 (VAR107),
.VAR39 (32'd1),
.VAR1 (VAR1),
.VAR111 (VAR111),
.VAR100 (VAR100),
.VAR117 (VAR117),
.VAR2 (VAR2),
.VAR141 (VAR141),
.VAR23 (VAR23),
.VAR129 (VAR129),
.VAR63 (VAR63),
.VAR91 (1'd0),
.VAR134 (),
.VAR59 (),
.VAR103 (),
.VAR60 (),
.VAR145 (),
.VAR82 (16'd0),
.VAR116 (1'd0),
.VAR92 (VAR105),
.VAR146 (),
.VAR93 (),
.VAR67 (),
.VAR108 (),
.VAR61 (VAR89),
.VAR140 (1'd0),
.VAR34 (1'd0),
.VAR22 (32'd8),
.VAR120 (),
.VAR55 (8'd3),
.VAR81 (VAR81),
.VAR56 (VAR56),
.VAR62 (VAR62),
.VAR11 (VAR11),
.VAR147 (VAR147),
.VAR136 (VAR136),
.VAR98 (VAR40),
.VAR88 (VAR21));
endmodule
|
mit
|
C-L-G/azpr_soc
|
azpr_soc/trunk/ic/digital/rtl/cpu/mem_stage.v
| 6,914 |
module MODULE1 (
input wire clk,
input wire reset,
input wire VAR14,
input wire VAR16,
output wire VAR48,
output wire [VAR35] VAR46,
input wire [VAR35] VAR2,
output wire [VAR5] VAR45,
output wire VAR37,
output wire VAR31,
output wire [VAR35] VAR42,
input wire [VAR35] VAR41,
input wire VAR24,
input wire VAR8,
output wire VAR4,
output wire [VAR5] VAR25,
output wire VAR43,
output wire VAR30,
output wire [VAR35] VAR29,
input wire [VAR5] VAR39,
input wire VAR49,
input wire VAR32,
input wire [VAR36] VAR33,
input wire [VAR35] VAR34,
input wire [VAR15] VAR27,
input wire [VAR20] VAR38,
input wire VAR17,
input wire [VAR12] VAR13,
input wire [VAR35] VAR28,
output wire [VAR5] VAR18,
output wire VAR40,
output wire VAR3,
output wire [VAR15] VAR7,
output wire [VAR20] VAR26,
output wire VAR6,
output wire [VAR12] VAR21,
output wire [VAR35] VAR10
);
wire [VAR35] VAR23;
wire [VAR5] addr;
wire VAR47;
wire VAR9;
wire [VAR35] VAR19;
wire [VAR35] out;
wire VAR11;
assign VAR46 = out;
VAR1 VAR1 (
.VAR49 (VAR49),
.VAR33 (VAR33),
.VAR34 (VAR34),
.VAR28 (VAR28),
.VAR23 (VAR23),
.addr (addr),
.VAR47 (VAR47),
.VAR9 (VAR9),
.VAR19 (VAR19),
.out (out),
.VAR11 (VAR11)
);
VAR44 VAR44 (
.clk (clk),
.reset (reset),
.VAR14 (VAR14),
.VAR16 (VAR16),
.VAR48 (VAR48),
.addr (addr),
.VAR47 (VAR47),
.VAR9 (VAR9),
.VAR19 (VAR19),
.VAR23 (VAR23),
.VAR2 (VAR2),
.VAR45 (VAR45),
.VAR37 (VAR37),
.VAR31 (VAR31),
.VAR42 (VAR42),
.VAR41 (VAR41),
.VAR24 (VAR24),
.VAR8 (VAR8),
.VAR4 (VAR4),
.VAR25 (VAR25),
.VAR43 (VAR43),
.VAR30 (VAR30),
.VAR29 (VAR29)
);
VAR22 VAR22 (
.clk (clk),
.reset (reset),
.out (out),
.VAR11 (VAR11),
.VAR14 (VAR14),
.VAR16 (VAR16),
.VAR39 (VAR39),
.VAR49 (VAR49),
.VAR32 (VAR32),
.VAR27 (VAR27),
.VAR38 (VAR38),
.VAR17 (VAR17),
.VAR13 (VAR13),
.VAR18 (VAR18),
.VAR40 (VAR40),
.VAR3 (VAR3),
.VAR7 (VAR7),
.VAR26 (VAR26),
.VAR6 (VAR6),
.VAR21 (VAR21),
.VAR10 (VAR10)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/sedfxbp/sky130_fd_sc_ls__sedfxbp.blackbox.v
| 1,432 |
module MODULE1 (
VAR6 ,
VAR5,
VAR11,
VAR10 ,
VAR9 ,
VAR7,
VAR1
);
output VAR6 ;
output VAR5;
input VAR11;
input VAR10 ;
input VAR9 ;
input VAR7;
input VAR1;
supply1 VAR2;
supply0 VAR8;
supply1 VAR4 ;
supply0 VAR3 ;
endmodule
|
apache-2.0
|
manu3193/GatoTDD
|
verificador_gato.v
| 5,051 |
module MODULE1(
VAR8,
VAR12,
VAR14,
VAR6,
VAR17,
VAR4,
VAR15,
VAR18,
VAR19,
VAR5,
VAR10,
VAR7,
VAR16,
VAR11,
VAR9,
VAR2,
VAR13,
VAR3,
VAR1
);
input VAR8;
input [1:0] VAR10, VAR7, VAR16, VAR11, VAR9, VAR2, VAR13, VAR3, VAR1;
output reg VAR12, VAR14, VAR6, VAR17, VAR4, VAR15;
output reg [1:0] VAR18, VAR19, VAR5;
always @(*)
begin
if (VAR8 == 1'b1)
begin
if (VAR10 == 2'b11 & VAR7 == 2'b11 & VAR16 == 2'b11)
begin
VAR6 <= 1'b1;
VAR14 <= 1'b0;
VAR15 <= 1'b0;
VAR4 <= 1'b1;
VAR12 <= 1'b0;
VAR17 <= 1'b0;
VAR18 <= 2'b01;
end
else if (VAR10 == 2'b11 & VAR11 == 2'b11 & VAR13 == 2'b11)
begin
VAR6 <= 1'b1;
VAR14 <= 1'b0;
VAR15 <= 1'b0;
VAR4 <= 1'b1;
VAR12 <= 1'b0;
VAR17 <= 1'b0;
VAR19 <= 2'b01;
end
else if (VAR10 == 2'b11 & VAR9 == 2'b11 & VAR1 == 2'b11)
begin
VAR6 <= 1'b1;
VAR14 <= 1'b0;
VAR15 <= 1'b0;
VAR4 <= 1'b1;
VAR12 <= 1'b0;
VAR17 <= 1'b0;
VAR5 <= 2'b01;
end
else if (VAR7 == 2'b11 & VAR9 == 2'b11 & VAR3 == 2'b11)
begin
VAR6 <= 1'b1;
VAR14 <= 1'b0;
VAR15 <= 1'b0;
VAR4 <= 1'b1;
VAR12 <= 1'b0;
VAR17 <= 1'b0;
VAR19 <= 2'b10;
end
else if (VAR16 == 2'b11 & VAR9 == 2'b11 & VAR13 == 2'b11)
begin
VAR6 <= 1'b1;
VAR14 <= 1'b0;
VAR15 <= 1'b0;
VAR4 <= 1'b1;
VAR12 <= 1'b0;
VAR17 <= 1'b0;
VAR5 <= 2'b10;
end
else if (VAR16 == 2'b11 & VAR2 == 2'b11 & VAR1 == 2'b11)
begin
VAR6 <= 1'b1;
VAR14 <= 1'b0;
VAR15 <= 1'b0;
VAR4 <= 1'b1;
VAR12 <= 1'b0;
VAR17 <= 1'b0;
VAR19 <= 2'b11;
end
else if (VAR11 == 2'b11 & VAR9 == 2'b11 & VAR2 == 2'b11)
begin
VAR6 <= 1'b1;
VAR14 <= 1'b0;
VAR15 <= 1'b0;
VAR4 <= 1'b1;
VAR12 <= 1'b0;
VAR17 <= 1'b0;
VAR18 <= 2'b10;
end
else if (VAR13 == 2'b11 & VAR3 == 2'b11 & VAR1 == 2'b11)
begin
VAR6 <= 1'b1;
VAR14 <= 1'b0;
VAR15 <= 1'b0;
VAR4 <= 1'b1;
VAR12 <= 1'b0;
VAR17 <= 1'b0;
VAR18 <= 2'b11;
end
else if (VAR10 == 2'b01 & VAR7 == 2'b01 & VAR16 == 2'b01)
begin
VAR15 <= 1'b1;
VAR4 <= 1'b0;
VAR6 <= 1'b0;
VAR14 <= 1'b1;
VAR12 <= 1'b0;
VAR17 <= 1'b0;
VAR18 <= 2'b01;
end
else if (VAR10 == 2'b01 & VAR11 == 2'b01 & VAR13 == 2'b01)
begin
VAR15 <= 1'b1;
VAR4 <= 1'b0;
VAR6 <= 1'b0;
VAR14 <= 1'b1;
VAR12 <= 1'b0;
VAR17 <= 1'b0;
VAR19 <= 2'b01;
end
else if (VAR10 == 2'b01 & VAR9 == 2'b01 & VAR1 == 2'b01)
begin
VAR15 <= 1'b1;
VAR4 <= 1'b0;
VAR6 <= 1'b0;
VAR14 <= 1'b1;
VAR12 <= 1'b0;
VAR17 <= 1'b0;
VAR5 <= 2'b01;
end
else if (VAR7 == 2'b01 & VAR9 == 2'b01 & VAR3 == 2'b01)
begin
VAR15 <= 1'b1;
VAR4 <= 1'b0;
VAR6 <= 1'b0;
VAR14 <= 1'b1;
VAR12 <= 1'b0;
VAR17 <= 1'b0;
VAR19 <= 2'b10;
end
else if (VAR16 == 2'b01 & VAR9 == 2'b01 & VAR13 == 2'b01)
begin
VAR15 <= 1'b1;
VAR4 <= 1'b0;
VAR6 <= 1'b0;
VAR14 <= 1'b1;
VAR12 <= 1'b0;
VAR17 <= 1'b0;
VAR5 <= 2'b10;
end
else if (VAR16 == 2'b01 & VAR2 == 2'b01 & VAR1 == 2'b01)
begin
VAR15 <= 1'b1;
VAR4 <= 1'b0;
VAR6 <= 1'b0;
VAR14 <= 1'b1;
VAR12 <= 1'b0;
VAR17 <= 1'b0;
VAR19 <= 2'b11;
end
else if (VAR11 == 2'b01 & VAR9 == 2'b01 & VAR2 == 2'b01)
begin
VAR15 <= 1'b1;
VAR4 <= 1'b0;
VAR6 <= 1'b0;
VAR14 <= 1'b1;
VAR12 <= 1'b0;
VAR17 <= 1'b0;
VAR18 <= 2'b10;
end
else if (VAR13 == 2'b01 & VAR3 == 2'b01 & VAR1 == 2'b01)
begin
VAR15 <= 1'b1;
VAR4 <= 1'b0;
VAR6 <= 1'b0;
VAR14 <= 1'b1;
VAR12 <= 1'b0;
VAR17 <= 1'b0;
VAR18 <= 2'b11;
end
else
if (VAR10[0] == 1'b1 & VAR7[0] == 1'b1 & VAR16[0] == 1'b1 & VAR11[0] == 1'b1 &
VAR9[0] == 1'b1 & VAR2[0] == 1'b1 & VAR13[0] == 1'b1 & VAR3[0] == 1'b1 &
VAR1[0] == 1'b1)
begin
VAR15 <= 1'b0;
VAR4 <= 1'b0;
VAR6 <= 1'b0;
VAR14 <= 1'b0;
VAR12 <= 1'b1;
VAR17 <= 1'b1;
end
end
end
endmodule
|
mit
|
anderson1008/NOCulator
|
hring/hw/buffered/src/c_crossbar.v
| 4,350 |
module MODULE1
(VAR15, VAR23, VAR20);
parameter VAR9 = 5;
parameter VAR1 = 5;
parameter VAR14 = 32;
parameter VAR4 = VAR12;
input [0:VAR9*VAR1-1] VAR15;
input [0:VAR9*VAR14-1] VAR23;
output [0:VAR1*VAR14-1] VAR20;
wire [0:VAR1*VAR14-1] VAR20;
wire [0:VAR1*VAR9-1] VAR21;
VAR6
.VAR18(VAR9))
VAR17
(.VAR5(VAR15),
.VAR7(VAR21));
generate
genvar VAR22;
for(VAR22 = 0; VAR22 < VAR1; VAR22 = VAR22 + 1)
begin:VAR8
wire [0:VAR14-1] VAR7;
genvar VAR25;
case(VAR4)
begin
for(VAR25 = 0; VAR25 < VAR9; VAR25 = VAR25 + 1)
begin:VAR10
wire [0:VAR14-1] in;
assign in = VAR23[VAR25*VAR14:(VAR25+1)*VAR14-1];
wire VAR16;
assign VAR16 = VAR21[VAR22*VAR9+VAR25];
assign VAR7 = VAR16 ? in : {VAR14{1'VAR19}};
end
end
begin
wire [0:VAR9-1] VAR2;
assign VAR2
= VAR21[VAR22*VAR9:(VAR22+1)*VAR9-1];
VAR11
.VAR27(VAR9))
VAR24
(.select(VAR2),
.VAR5(VAR23),
.VAR7(VAR7));
end
begin
wire [0:VAR9*VAR14-1] VAR26;
assign VAR26[0:VAR14-1] = VAR23[0:VAR14-1];
for(VAR25 = 1; VAR25 < VAR9; VAR25 = VAR25 + 1)
begin:VAR3
wire [0:VAR14-1] in;
assign in = VAR23[VAR25*VAR14:(VAR25+1)*VAR14-1];
wire [0:VAR14-1] VAR13;
assign VAR13 = VAR26[(VAR25-1)*VAR14:VAR25*VAR14-1];
wire VAR16;
assign VAR16 = VAR21[VAR22*VAR9+VAR25];
wire [0:VAR14-1] out;
assign out = VAR16 ? in : VAR13;
assign VAR26[VAR25*VAR14:(VAR25+1)*VAR14-1] = out;
end
assign VAR7
= VAR26[(VAR9-1)*VAR14:VAR9*VAR14-1];
end
endcase
assign VAR20[VAR22*VAR14:(VAR22+1)*VAR14-1] = VAR7;
end
endgenerate
endmodule
|
mit
|
GLADICOS/SPACEWIRESYSTEMC
|
altera_work/spw_fifo_ulight/ulight_fifo/synthesis/submodules/ulight_fifo_data_read_en_rx.v
| 2,282 |
module MODULE1 (
address,
VAR5,
clk,
VAR3,
VAR2,
VAR9,
VAR6,
VAR8
)
;
output VAR6;
output [ 31: 0] VAR8;
input [ 1: 0] address;
input VAR5;
input clk;
input VAR3;
input VAR2;
input [ 31: 0] VAR9;
wire VAR7;
reg VAR1;
wire VAR6;
wire VAR4;
wire [ 31: 0] VAR8;
assign VAR7 = 1;
assign VAR4 = {1 {(address == 0)}} & VAR1;
always @(posedge clk or negedge VAR3)
begin
if (VAR3 == 0)
VAR1 <= 0;
end
else if (VAR5 && ~VAR2 && (address == 0))
VAR1 <= VAR9;
end
assign VAR8 = {32'b0 | VAR4};
assign VAR6 = VAR1;
endmodule
|
gpl-3.0
|
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
|
DE4_network_processor_4cores_6monitors_release/lib/verilog/core/output_queues/sram_rr_output_queues/src/oq_regs_eval_empty.v
| 3,574 |
module MODULE1
parameter VAR24 = 13,
parameter VAR5 = 8,
parameter VAR14 = 2,
parameter VAR9 = 8,
parameter VAR11 = VAR25(VAR9),
parameter VAR15 = 11,
parameter VAR23 = VAR15-VAR25(VAR5),
parameter VAR8 = 2048/VAR5, parameter VAR17 = 60/VAR5 + 1,
parameter VAR3 = VAR25((2**VAR24)/VAR17)
)
(
input VAR19,
input [VAR11-1:0] VAR20,
input [VAR3-1:0] VAR16,
input VAR6,
input VAR12,
input [VAR11-1:0] VAR10,
input [VAR3-1:0] VAR13,
input VAR26,
input VAR29,
input [VAR11-1:0] VAR4,
output reg [VAR9-1:0] VAR1,
input clk,
input reset
);
function integer VAR25;
input integer VAR18;
begin
VAR25=0;
while(2**VAR25<VAR18) begin
VAR25=VAR25+1;
end
end
endfunction
wire VAR7;
wire VAR21;
reg VAR2;
reg [VAR11-1:0] VAR22;
reg [VAR11-1:0] VAR28;
reg VAR27;
assign VAR7 = VAR13 == 'h0;
assign VAR21 = VAR16 == 'h0;
always @(posedge clk)
begin
if (reset) begin
VAR1 <= {VAR9{1'b1}};
end
else begin
if (VAR19) begin
VAR22 <= VAR20;
end
if (VAR12) begin
VAR28 <= VAR10;
end
if (VAR26) begin
VAR1[VAR28] <= VAR7;
VAR27 <= VAR6;
VAR2 <= VAR21;
end
else if (VAR6) begin
VAR1[VAR22] <= VAR21;
end
else if (VAR27) begin
VAR1[VAR22] <= VAR2;
end
else if (VAR29) begin
VAR1[VAR4] <= 1'b1;
end
end
end
endmodule
|
mit
|
aj-michael/Digital-Systems
|
Lab6-Part2/ControllerI2C.v
| 3,590 |
module MODULE1(VAR20,VAR27,VAR7,VAR28,VAR21,VAR19,VAR17,VAR15,VAR30,VAR8);
input VAR20, VAR27, VAR7, VAR28;
output reg VAR21, VAR19, VAR17, VAR15, VAR30, VAR8;
parameter VAR16 = 3'd0;
parameter VAR26 = 3'd1;
parameter VAR5 = 3'd2;
parameter VAR24 = 3'd3;
parameter VAR22 = 3'd4;
parameter VAR18 = 3'd5;
parameter VAR9 = 3'd6;
reg [2:0] VAR11;
reg [2:0] VAR2;
reg [3:0] VAR14;
reg VAR10;
wire VAR29;
wire VAR25;
wire VAR3;
VAR6 VAR13(VAR27, VAR25, VAR28, VAR20);
VAR1 VAR12(VAR27, VAR3, VAR28, VAR20);
VAR4 VAR23(VAR10,VAR29,VAR20);
always @ (VAR11)
case (VAR11)
VAR16: begin
VAR19 <= 0;
VAR17 <= 0;
VAR21 <= 0;
VAR30 <= 1;
VAR10 <= 1;
end
VAR26: begin
VAR21 <= 0;
VAR30 <= 0;
VAR10 <= 0;
end
VAR5: begin
VAR10 <= 1;
VAR21 <= 1;
end
VAR24:
if (VAR14 == 1) begin VAR21 <= 1; VAR19 <= 1; VAR17 <= 0; VAR30 <= 0; VAR10 <= 1; end
else begin VAR21 <= 1; VAR17 <= 1; end
VAR22: begin
VAR21 <= 1;
VAR19 <= 1;
VAR17 <= 0;
VAR30 <= 0;
VAR10 <= 1;
end
VAR18: begin
VAR21 <= 0;
VAR17 <= 0;
VAR19 <= 0;
VAR30 <= 0;
VAR10 <= 0;
end
VAR9: begin
VAR21 <= 0;
VAR19 <= 0;
VAR17 <= 0;
VAR30 <= 1;
VAR10 <= 1;
end
endcase
always @ (VAR11 or VAR7 or VAR27 or VAR29 or VAR14)
case (VAR11)
VAR16: VAR2 <= VAR7 == 0 ? VAR16 : (VAR27 == 0 ? VAR16 : VAR26);
VAR26: VAR2 <= VAR29 == 0 ? VAR26 : VAR5;
VAR5: VAR2 <= VAR14 <= 4'd9 ? VAR24 : VAR5;
VAR24: VAR2 <= VAR25 == 1 ? VAR2 : (VAR14 == 0 ? VAR22 : VAR24);
VAR22: VAR2 <= VAR18;
VAR18: VAR2 <= VAR29 == 0 ? VAR18 : VAR9;
VAR9: VAR2 <= VAR27 == 0 ? VAR9 : VAR9;
endcase
always @ (VAR25)
if (VAR25 == 1) begin VAR15 <= 0; VAR8 <= 0; end
else case (VAR11)
VAR24: VAR15 <= 1;
VAR5: VAR8 <= 1;
endcase
always @ (posedge VAR20 or posedge VAR28)
if (VAR28 == 1) begin VAR14 <= 4'd10; end
else
case (VAR11)
VAR5: VAR14 <= VAR25 == 0 ? VAR14 - 1 : VAR14;
VAR24: VAR14 <= VAR25 == 0 ? VAR14 - 1 : VAR14;
VAR22: VAR14 <= VAR25 == 0 ? VAR14 - 1 : VAR14;
default: VAR14 <= 4'd10;
endcase
always @ (posedge VAR20 or posedge VAR28)
if (VAR28 == 1) VAR11 <= VAR16;
end
else if (VAR11 == VAR22) VAR11 <= VAR18;
end
else VAR11 <= VAR2;
endmodule
|
mit
|
borti4938/n64rgb
|
advancedRGBmod/firmware/rtl/n64adv_controller.v
| 9,943 |
module MODULE1 (
VAR40,
VAR35,
VAR3,
VAR13,
VAR18,
VAR43,
VAR19,
VAR6,
VAR9,
VAR37,
VAR17,
VAR27,
VAR49,
VAR51,
VAR31
);
parameter [11:0] VAR42 = 12'h000;
input [2:0] VAR40;
inout VAR35;
input [2:0] VAR3;
input VAR13;
input [12:0] VAR18;
input [ 7:0] VAR43;
output reg VAR19;
output reg [68:0] VAR6;
input VAR9;
output [24:0] VAR37;
output reg [ 1:0] VAR17;
input VAR27;
input VAR49;
input VAR51;
input VAR31;
wire VAR28 = VAR40[2];
wire VAR44 = VAR40[1];
wire VAR16 = VAR40[0];
wire VAR36 = VAR3[2];
wire VAR34 = VAR3[0];
localparam VAR20 = 2'b00; localparam VAR23 = 2'b01; localparam VAR5 = 2'b10;
wire [ 9:0] VAR14;
wire [ 1:0] VAR30;
wire [12:0] VAR1;
wire VAR10, VAR50;
wire [12:0] VAR41;
wire VAR11;
wire VAR45;
wire VAR46;
wire VAR52, VAR7;
wire [31:0] VAR12, VAR21 ,VAR25;
wire VAR38;
wire VAR39, VAR53;
wire VAR15;
reg VAR24 = 1'b0;
reg [9:0] timeout = 10'd1023;
reg VAR47 = 1'b0;
reg VAR22 = 1'b0;
reg [1:0] VAR26 = 2'b00;
reg [1:0] VAR4 = 2'b00;
reg VAR8;
reg [1:0] VAR32 = 2'b0;
reg [7:0] VAR33 = 8'h0; reg [2:0] VAR29 = 3'h7;
reg [7:0] VAR48 = 8'h0;
reg [31:0] VAR2[0:2];
|
gpl-3.0
|
trivoldus28/pulsarch-verilog
|
design/sys/iop/ccx/rtl/pcx_dp_maca_r.v
| 4,145 |
module MODULE1(
VAR19, VAR24, VAR7,
VAR1, VAR18, VAR13,
VAR23, VAR29, VAR28, VAR27,
VAR22, VAR10
);
output [129:0] VAR19; output VAR24;
output VAR7;
input VAR1; input VAR18; input VAR13; input VAR23; input VAR29;
input [129:0] VAR28;
input VAR27;
input VAR22;
input VAR10;
wire VAR6;
wire [129:0] VAR31;
wire [129:0] VAR33, VAR8;
wire VAR20, VAR16;
reg VAR30, VAR3;
assign VAR7 = VAR10;
wire sel ;
assign sel = ~VAR10 ;
VAR9 VAR17 (
.clk (VAR20),
.VAR27 (VAR27),
.VAR4(~VAR29),
.VAR21(sel));
VAR9 VAR32 (
.clk (VAR16),
.VAR27 (VAR27),
.VAR4(~VAR1),
.VAR21(sel));
VAR12 #(1) VAR14(
.din (VAR13),
.VAR11 (VAR6),
.clk (VAR27),
.VAR5 (1'b0),
.VAR26 (1'b0),
.VAR2 ());
VAR12 #(130) VAR25(
.din (VAR28[129:0]),
.VAR11 (VAR33[129:0]),
.clk (VAR16),
.VAR5 (1'b0),
.VAR26 (),
.VAR2 ());
assign VAR31[129:0] =
(VAR18 ? VAR28[129:0] : 130'd0) |
(VAR23 ? VAR33[129:0] : 130'd0) ;
VAR12 #(130) VAR15(
.din (VAR31[129:0]),
.VAR11 (VAR8[129:0]),
.clk (VAR20),
.VAR5 (1'b0),
.VAR26 (),
.VAR2 ());
assign VAR19[129:0] = ~(VAR6 ? VAR8[129:0]:130'd0);
endmodule
|
gpl-2.0
|
litex-hub/pythondata-cpu-blackparrot
|
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_clk_gen.v
| 6,615 |
module MODULE1
import VAR18::VAR44;
, VAR43 = 2
, VAR35 = 1 )
(input VAR44 VAR32
,input VAR44 VAR21 ,input VAR44 VAR22
,input VAR46
,input VAR11
,input [1:0] VAR2
,output logic VAR20
);
localparam VAR17 = 0;
logic VAR23; logic VAR10;
VAR3 #(.VAR43(VAR43)) VAR25
(
.VAR19 (VAR32 )
,.VAR47 (VAR21)
,.VAR37 (VAR46 )
,.VAR20 (VAR23 )
);
VAR39 VAR4;
wire VAR45;
VAR6 #(.VAR40(VAR24(VAR39))
,.VAR31(0)
,.VAR16(1)
) VAR9
(.VAR19(VAR22)
,.VAR27 (VAR23)
,.VAR26 (1'b0) ,.VAR33 (VAR45) ,.VAR38(VAR4)
);
if (VAR17 > 1)
always @(negedge VAR23)
if (VAR45)
VAR15 #(.VAR40(VAR29),. VAR16(1)) VAR7
(.VAR30(VAR23)
,.VAR34(VAR4.reset)
,.VAR41 (VAR4.VAR13 )
,.VAR36(VAR10 )
);
VAR1 #(.VAR40(1)
,.VAR42(4)
,.VAR8(1)
,.VAR16(1)
) VAR28
(.VAR12 ({ 1'b0, VAR11, VAR10, VAR23 })
,.VAR14 (VAR2)
,.VAR5(VAR20)
);
endmodule
|
bsd-3-clause
|
vipinkmenon/fpgadriver
|
src/hw/fpga/source/memory_if/mig_7series_v1_8_bank_mach.v
| 31,504 |
module MODULE1 #
(
parameter VAR24 = 100,
parameter VAR81 = "VAR68",
parameter VAR60 = "1T",
parameter VAR107 = 3,
parameter VAR62 = 2,
parameter VAR33 = "8",
parameter VAR118 = 12,
parameter VAR156 = 4,
parameter VAR70 = 5,
parameter VAR11 = 5,
parameter VAR23 = 8,
parameter VAR177 = "VAR21",
parameter VAR37 = "VAR68",
parameter VAR117 = "VAR68",
parameter VAR100 = 1,
parameter VAR161 = 4,
parameter VAR159 = 2,
parameter VAR59 = 1,
parameter VAR131 = 0,
parameter VAR153 = 20,
parameter VAR26 = 5,
parameter VAR140 = 44,
parameter VAR124 = 4,
parameter VAR16 = "VAR7", parameter VAR194 = 10,
parameter VAR54 = 2,
parameter VAR84 = 6,
parameter VAR154 = 512,
parameter VAR47 = "VAR46",
parameter VAR127 = 16,
parameter VAR192 = 2,
parameter VAR101 = 4,
parameter VAR38 = 16,
parameter VAR179 = "40",
parameter VAR74 = "120",
parameter VAR40 = 2,
parameter VAR73 = 8'b00000101,
parameter VAR75 = 8'b00001010,
parameter VAR52 = 64
)
(
output VAR157, output VAR172, output [VAR62-1:0] VAR170, output [VAR38-1:0] VAR180, output [VAR107-1:0] VAR184, output [VAR23-1:0] VAR80, output VAR189, output [VAR192-1:0] VAR120, output VAR15, output VAR69,
output [VAR38-1:0] VAR175, output VAR20, output [VAR23-1:0] VAR25, output wire [VAR159-1:0] VAR36,
output wire [VAR159-1:0] VAR6,
output wire [VAR159-1:0] VAR185,
output wire [VAR159*VAR38-1:0] VAR14,
output wire [VAR159*VAR107-1:0] VAR138,
output wire [VAR156*VAR59*VAR159-1:0] VAR155,
output wire [1:0] VAR45,
output wire [VAR159-1:0] VAR190,
output wire [3:0] VAR150,
output wire [3:0] VAR122,
output [2:0] VAR30,
output [5:0] VAR173,
output [5:0] VAR137,
output [5:0] VAR4,
output [1:0] VAR178,
output VAR55, output VAR61, output wire [VAR161-1:0] VAR166,
output wire [VAR161-1:0] VAR116,
output wire VAR151,
output wire VAR111,
output VAR19,
output wire [VAR127-1:0] VAR134,
output wire [VAR127-1:0] VAR42,
output wire [VAR127-1:0] VAR27,
output wire [(VAR101*VAR161)-1:0] VAR97,
output VAR104,
input [VAR107-1:0] VAR85, input [6*VAR101-1:0] VAR53,
input [6*VAR101-1:0] VAR17,
input [6*VAR101-1:0] VAR135,
input clk, input [2:0] VAR147, input [VAR118-1:0] VAR90, input [VAR23-1:0] VAR126, input VAR18, input VAR115, input VAR125, input VAR181, input [VAR101-1:0] VAR29, input [VAR101-1:0] VAR3, input [VAR101-1:0] VAR133, input [VAR192-1:0] VAR64, input VAR13, input VAR145, input VAR58, input VAR9, input VAR82, input [VAR192-1:0] VAR86, input VAR2,
input VAR168,
input VAR65,
input [VAR192-1:0] VAR149, input [VAR23-1:0] VAR28, input VAR98, input [VAR38-1:0] VAR167, input rst, input VAR51, input [7:0] VAR187, input [7:0] VAR35, input VAR76
);
function integer VAR57 (input integer VAR51); begin
VAR51 = VAR51 - 1;
for (VAR57=1; VAR51>1; VAR57=VAR57+1)
VAR51 = VAR51 >> 1;
end
endfunction
localparam VAR49 = (VAR161 *VAR192) - 1;
localparam VAR106 = (VAR161 * VAR107) - 1;
localparam VAR136 = (VAR161 * VAR38) - 1;
localparam VAR22 = (VAR161 * VAR23) - 1;
localparam VAR92 = (VAR159 == 1) ? VAR153 : (VAR159 == 2) ? ((VAR153/2) + (VAR153 % 2)) : ((VAR153/4) + ((VAR153%4) ? 1 : 0));
localparam VAR41 = VAR11 + ((VAR33 == "4") ? 2 : 4) + VAR84;
localparam VAR162 = (VAR159 == 1)
? VAR41 :
(VAR159 == 2)
? (VAR41/2) + ((VAR60 == "2T") ? VAR41%2 : 1) :
(VAR41/4) + ((VAR60 == "2T") ? (VAR41%4 > 2 ? 2 : 1) : 2);
localparam VAR128 = VAR57(((VAR92 > VAR162)
? VAR92
: VAR162) - 1);
wire VAR143; wire VAR105; wire VAR123; wire [VAR62-1:0] VAR109; wire VAR94; wire VAR144; wire VAR182; wire [VAR62-1:0] VAR71; wire VAR31; wire [VAR62-1:0] VAR130; wire VAR93; wire VAR10; wire VAR160;
wire [VAR192-1:0] VAR132;
wire VAR34;
wire VAR165;
wire VAR88;
wire [VAR161-1:0] VAR89;
wire [VAR161-1:0] VAR146;
wire [VAR161-1:0] VAR102;
wire [VAR161-1:0] VAR5;
wire [VAR161-1:0] VAR163;
wire [VAR161-1:0] VAR142;
wire [VAR22:0] VAR1;
wire [VAR161-1:0] VAR139;
wire [VAR49:0] VAR141;
wire [VAR106:0] VAR186;
wire [VAR136:0] VAR43;
wire [VAR136:0] VAR8;
wire [VAR161-1:0] VAR12;
wire [VAR161-1:0] VAR99;
wire [VAR161-1:0] VAR110;
wire [VAR161-1:0] VAR96;
wire [VAR161-1:0] VAR171;
wire [VAR136:0] VAR50;
wire [VAR161-1:0] VAR193;
wire [VAR161-1:0] VAR113;
wire [VAR161-1:0] VAR176;
wire [VAR161-1:0] VAR121;
wire [VAR161-1:0] VAR66;
wire [VAR161-1:0] VAR48;
wire [VAR161-1:0] VAR164;
wire [VAR161-1:0] VAR191;
wire [VAR161-1:0] VAR158;
wire [VAR161-1:0] VAR44;
wire [VAR161-1:0] VAR112;
wire [VAR161-1:0] VAR108;
wire [VAR161-1:0] VAR95;
wire [VAR161-1:0] VAR72;
wire [VAR161-1:0] VAR83;
wire [VAR161-1:0] VAR56;
wire [VAR161-1:0] VAR174;
wire [VAR161-1:0] VAR129;
wire [(VAR128*VAR161)-1:0] VAR79;
genvar VAR32;
generate for (VAR32=0; VAR32<VAR161; VAR32=VAR32+1) begin:VAR148
VAR119 #
(
.VAR24 (VAR24),
.VAR60 (VAR60),
.VAR107 (VAR107),
.VAR62 (VAR62),
.VAR33 (VAR33),
.VAR118 (VAR118),
.VAR11 (VAR11),
.VAR23 (VAR23),
.VAR177 (VAR177),
.VAR117 (VAR117),
.VAR32 (VAR32),
.VAR161 (VAR161),
.VAR159 (VAR159),
.VAR131 (VAR131),
.VAR92 (VAR92),
.VAR26 (VAR26),
.VAR124 (VAR124),
.VAR194 (VAR194),
.VAR162 (VAR162),
.VAR47 (VAR47),
.VAR192 (VAR192),
.VAR101 (VAR101),
.VAR128 (VAR128),
.VAR38 (VAR38),
.VAR40 (VAR40))
VAR77
(.VAR113 (VAR113[VAR32]),
.VAR63 ({2{VAR113}}),
.VAR176 (VAR176[VAR32]),
.VAR87 ({2{VAR176}}),
.VAR89 (VAR89[VAR32]),
.VAR146 (VAR146[VAR32]),
.VAR102 (VAR102[VAR32]),
.VAR5 (VAR5[VAR32]),
.VAR163 (VAR163[VAR32]),
.VAR166 (VAR166[VAR32]),
.VAR142 (VAR142[VAR32]),
.VAR116 (VAR116[VAR32]),
.VAR1 (VAR1[(VAR32*VAR23)+:VAR23]),
.VAR139 (VAR139[VAR32]),
.VAR141 (VAR141[(VAR32*VAR192)+:VAR192]),
.VAR186 (VAR186[(VAR32*VAR107)+:VAR107]),
.VAR43 (VAR43[(VAR32*VAR38)+:VAR38]),
.VAR8 (VAR8[(VAR32*VAR38)+:VAR38]),
.VAR99 (VAR99[VAR32]),
.VAR110 (VAR110[VAR32]),
.VAR12 (VAR12[VAR32]),
.VAR96 (VAR96[VAR32]),
.VAR171 (VAR171[VAR32]),
.VAR50 (VAR50[(VAR32*VAR38)+:VAR38]),
.VAR193 (VAR193[VAR32]),
.VAR134 (VAR134[(VAR32*VAR101)+:VAR101]),
.VAR42 (VAR42[(VAR32*VAR101)+:VAR101]),
.VAR27 (VAR27[(VAR32*VAR101)+:VAR101]),
.VAR121 (VAR121[VAR32]),
.VAR66 (VAR66[VAR32]),
.VAR48 (VAR48[VAR32]),
.VAR183 ({2{VAR48}}),
.VAR164 (VAR164[VAR32]),
.VAR67 ({2{VAR164}}),
.VAR191 (VAR191[VAR32]),
.VAR158 (VAR158[VAR32]),
.VAR44 (VAR44[VAR32]),
.VAR152 ({2{VAR44}}),
.VAR112 (VAR112[VAR32]),
.VAR114 ({2{VAR141}}),
.VAR108 (VAR108[VAR32]),
.VAR95 (VAR95[VAR32]),
.VAR72 (VAR72[VAR32]),
.VAR103 ({2{VAR72}}),
.VAR83 (VAR83[VAR32]),
.VAR56 (VAR56[VAR32]),
.VAR174 (VAR174[VAR32]),
.VAR129 (VAR129[VAR32]),
.VAR79 (VAR79[(VAR32*VAR128)+:VAR128]),
.VAR188 ({2{VAR79}}),
.VAR97 (VAR97[VAR32*VAR101+:VAR101]),
.VAR143 (VAR143),
.VAR105 (VAR105),
.VAR123 (VAR123),
.VAR85 (VAR85[VAR107-1:0]),
.clk (clk),
.VAR147 (VAR147[2:0]),
.VAR90 (VAR90[VAR118-1:0]),
.VAR126 (VAR126[VAR23-1:0]),
.VAR115 (VAR115),
.VAR125 (VAR125),
.VAR181 (VAR181),
.VAR109 (VAR109[VAR62-1:0]),
.VAR29 (VAR29[VAR101-1:0]),
.VAR3 (VAR3[VAR101-1:0]),
.VAR133 (VAR133[VAR101-1:0]),
.VAR132 (VAR132[VAR192-1:0]),
.VAR34 (VAR34),
.VAR165 (VAR165),
.VAR88 (VAR88),
.VAR144 (VAR144),
.VAR182 (VAR182),
.VAR64 (VAR64[VAR192-1:0]),
.VAR13 (VAR13),
.VAR145 (VAR145),
.VAR58 (VAR58),
.VAR71 (VAR71[VAR62-1:0]),
.VAR19 (VAR19),
.VAR31 (VAR31),
.VAR86 (VAR86[VAR192-1:0]),
.VAR168 (VAR168),
.VAR2 (VAR2),
.VAR65 (VAR65),
.VAR149 (VAR149[VAR192-1:0]),
.VAR130 (VAR130[VAR62-1:0]),
.VAR28 (VAR28[VAR23-1:0]),
.VAR98 (VAR98),
.VAR167 (VAR167[VAR38-1:0]),
.rst (rst),
.VAR151 (VAR151),
.VAR93 (VAR93),
.VAR51 (VAR51),
.VAR76 (VAR76),
.VAR10 (VAR10),
.VAR160 (VAR160));
end
endgenerate
VAR91 #
(
.VAR24 (VAR24),
.VAR62 (VAR62),
.VAR100 (VAR100),
.VAR161 (VAR161),
.VAR159 (VAR159),
.VAR131 (VAR131),
.VAR140 (VAR140),
.VAR154 (VAR154),
.VAR192 (VAR192),
.VAR101 (VAR101),
.VAR11 (VAR11),
.VAR52 (VAR52))
VAR39
(.VAR174 (VAR174[VAR161-1:0]),
.VAR143 (VAR143),
.VAR172 (VAR172),
.VAR157 (VAR157),
.VAR31 (VAR31),
.VAR19 (VAR19),
.VAR105 (VAR105),
.VAR130 (VAR130[VAR62-1:0]),
.VAR109 (VAR109[VAR62-1:0]),
.VAR104 (VAR104),
.VAR71 (VAR71[VAR62-1:0]),
.VAR123 (VAR123),
.VAR170 (VAR170[VAR62-1:0]),
.VAR144 (VAR144),
.VAR160 (VAR160),
.VAR10 (VAR10),
.VAR61 (VAR61),
.VAR182 (VAR182),
.VAR94 (VAR94),
.clk (clk),
.rst (rst),
.VAR121 (VAR121[VAR161-1:0]),
.VAR18 (VAR18),
.VAR82 (VAR82),
.VAR76 (VAR76),
.VAR66 (VAR66[VAR161-1:0]),
.VAR108 (VAR108[VAR161-1:0]),
.VAR191 (VAR191[VAR161-1:0]),
.VAR158 (VAR158[VAR161-1:0]),
.VAR95 (VAR95[VAR161-1:0]),
.VAR83 (VAR83[VAR161-1:0]),
.VAR164 (VAR164[VAR161-1:0]),
.VAR56 (VAR56[VAR161-1:0]),
.VAR129 (VAR129[VAR161-1:0]),
.VAR147 (VAR147[2:0]),
.VAR181 (VAR181),
.VAR13 (VAR13),
.VAR145 (VAR145),
.VAR58 (VAR58),
.VAR9 (VAR9),
.VAR112 (VAR112[VAR161-1:0]),
.VAR48 (VAR48[VAR161-1:0]),
.VAR187 (VAR187[7:0]),
.VAR35 (VAR35[7:0]));
VAR78 #
(
.VAR24 (VAR24),
.VAR81 (VAR81),
.VAR60 (VAR60),
.VAR106 (VAR106),
.VAR107 (VAR107),
.VAR33 (VAR33),
.VAR156 (VAR156),
.VAR70 (VAR70),
.VAR11 (VAR11),
.VAR22 (VAR22),
.VAR23 (VAR23),
.VAR177 (VAR177),
.VAR37 (VAR37),
.VAR117 (VAR117),
.VAR161 (VAR161),
.VAR159 (VAR159),
.VAR59 (VAR59),
.VAR153 (VAR153),
.VAR26 (VAR26),
.VAR16 (VAR16),
.VAR54 (VAR54),
.VAR84 (VAR84),
.VAR101 (VAR101),
.VAR49 (VAR49),
.VAR192 (VAR192),
.VAR136 (VAR136),
.VAR38 (VAR38),
.VAR179 (VAR179),
.VAR74 (VAR74),
.VAR73 (VAR73),
.VAR75 (VAR75))
VAR169
(.VAR146 (VAR146[VAR161-1:0]),
.VAR180 (VAR180[VAR38-1:0]),
.VAR184 (VAR184[VAR107-1:0]),
.VAR80 (VAR80[VAR23-1:0]),
.VAR189 (VAR189),
.VAR120 (VAR120[VAR192-1:0]),
.VAR15 (VAR15),
.VAR69 (VAR69),
.VAR175 (VAR175[VAR38-1:0]),
.VAR20 (VAR20),
.VAR25 (VAR25[VAR23-1:0]),
.VAR138 (VAR138),
.VAR14 (VAR14),
.VAR36 (VAR36),
.VAR6 (VAR6),
.VAR185 (VAR185),
.VAR155 (VAR155),
.VAR45 (VAR45),
.VAR190 (VAR190),
.VAR150 (VAR150),
.VAR122 (VAR122),
.VAR30 (VAR30),
.VAR173 (VAR173),
.VAR137 (VAR137),
.VAR4 (VAR4),
.VAR132 (VAR132[VAR192-1:0]),
.VAR88 (VAR88),
.VAR178 (VAR178),
.VAR166 (VAR166[VAR161-1:0]),
.VAR142 (VAR142[VAR161-1:0]),
.VAR151 (VAR151),
.VAR111 (VAR111),
.VAR93 (VAR93),
.VAR116 (VAR116[VAR161-1:0]),
.VAR34 (VAR34),
.VAR165 (VAR165),
.VAR55 (VAR55),
.VAR18 (VAR18),
.VAR53 (VAR53),
.VAR17 (VAR17),
.VAR135 (VAR135),
.VAR8 (VAR8[VAR136:0]),
.VAR5 (VAR5[VAR161-1:0]),
.VAR94 (VAR94),
.VAR64 (VAR64[VAR192-1:0]),
.VAR145 (VAR145),
.VAR58 (VAR58),
.VAR9 (VAR9),
.VAR110 (VAR110[VAR161-1:0]),
.VAR186 (VAR186[VAR106:0]),
.VAR171 (VAR171[VAR161-1:0]),
.VAR1 (VAR1[VAR22:0]),
.VAR12 (VAR12[VAR161-1:0]),
.VAR141 (VAR141[VAR49:0]),
.VAR96 (VAR96[VAR161-1:0]),
.VAR43 (VAR43[VAR136:0]),
.VAR139 (VAR139[VAR161-1:0]),
.VAR99 (VAR99[VAR161-1:0]),
.VAR50 (VAR50[VAR136:0]),
.VAR193 (VAR193[VAR161-1:0]),
.VAR89 (VAR89[VAR161-1:0]),
.VAR163 (VAR163[VAR161-1:0]),
.VAR102 (VAR102[VAR161-1:0]),
.VAR187 (VAR187[7:0]),
.VAR35 (VAR35[7:0]),
.clk (clk),
.rst (rst));
endmodule
|
mit
|
Separius/Custom-Single-Cycle-MIPS
|
Stack.v
| 1,274 |
module MODULE1(input clk,rst,input[1:0] VAR1, input[11:0] VAR3, output[11:0] VAR4);
reg[2:0] VAR5;
reg[11:0] VAR2[0:7];
always@(posedge clk , posedge rst) if(~rst)
begin
if(VAR1==2'b01) begin
VAR2[VAR5] = VAR3+1;
VAR5 = VAR5+1;
end
else if(VAR1 == 2'b10) begin
VAR5 = VAR5-1;
end
end
else
VAR5 = 3'b000;
assign VAR4 = VAR2[VAR5];
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/nand4b/sky130_fd_sc_hdll__nand4b_1.v
| 2,327 |
module MODULE2 (
VAR2 ,
VAR9 ,
VAR3 ,
VAR8 ,
VAR4 ,
VAR6,
VAR7,
VAR1 ,
VAR11
);
output VAR2 ;
input VAR9 ;
input VAR3 ;
input VAR8 ;
input VAR4 ;
input VAR6;
input VAR7;
input VAR1 ;
input VAR11 ;
VAR10 VAR5 (
.VAR2(VAR2),
.VAR9(VAR9),
.VAR3(VAR3),
.VAR8(VAR8),
.VAR4(VAR4),
.VAR6(VAR6),
.VAR7(VAR7),
.VAR1(VAR1),
.VAR11(VAR11)
);
endmodule
module MODULE2 (
VAR2 ,
VAR9,
VAR3 ,
VAR8 ,
VAR4
);
output VAR2 ;
input VAR9;
input VAR3 ;
input VAR8 ;
input VAR4 ;
supply1 VAR6;
supply0 VAR7;
supply1 VAR1 ;
supply0 VAR11 ;
VAR10 VAR5 (
.VAR2(VAR2),
.VAR9(VAR9),
.VAR3(VAR3),
.VAR8(VAR8),
.VAR4(VAR4)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/nand2/sky130_fd_sc_hdll__nand2_2.v
| 2,113 |
module MODULE1 (
VAR9 ,
VAR4 ,
VAR7 ,
VAR2,
VAR6,
VAR1 ,
VAR3
);
output VAR9 ;
input VAR4 ;
input VAR7 ;
input VAR2;
input VAR6;
input VAR1 ;
input VAR3 ;
VAR8 VAR5 (
.VAR9(VAR9),
.VAR4(VAR4),
.VAR7(VAR7),
.VAR2(VAR2),
.VAR6(VAR6),
.VAR1(VAR1),
.VAR3(VAR3)
);
endmodule
module MODULE1 (
VAR9,
VAR4,
VAR7
);
output VAR9;
input VAR4;
input VAR7;
supply1 VAR2;
supply0 VAR6;
supply1 VAR1 ;
supply0 VAR3 ;
VAR8 VAR5 (
.VAR9(VAR9),
.VAR4(VAR4),
.VAR7(VAR7)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/o22ai/sky130_fd_sc_lp__o22ai.behavioral.pp.v
| 2,159 |
module MODULE1 (
VAR12 ,
VAR6 ,
VAR10 ,
VAR3 ,
VAR16 ,
VAR19,
VAR5,
VAR14 ,
VAR13
);
output VAR12 ;
input VAR6 ;
input VAR10 ;
input VAR3 ;
input VAR16 ;
input VAR19;
input VAR5;
input VAR14 ;
input VAR13 ;
wire VAR11 ;
wire VAR15 ;
wire VAR7 ;
wire VAR1;
nor VAR8 (VAR11 , VAR3, VAR16 );
nor VAR9 (VAR15 , VAR6, VAR10 );
or VAR4 (VAR7 , VAR15, VAR11 );
VAR17 VAR18 (VAR1, VAR7, VAR19, VAR5);
buf VAR2 (VAR12 , VAR1 );
endmodule
|
apache-2.0
|
MarcoVogt/basil
|
firmware/modules/rrp_arbiter/rrp_arbiter.v
| 2,743 |
module MODULE1
parameter VAR13 = 4
)
(
input wire VAR21,
input wire VAR19,
input wire [VAR13-1:0] VAR7, input wire [VAR13-1:0] VAR1, input wire [VAR13*32-1:0] VAR5,
output wire[VAR13-1:0] VAR20,
input wire VAR3,
output wire VAR12,
output wire [31:0] VAR17
);
integer VAR2;
reg [VAR13-1:0] VAR15; reg [VAR13-1:0] select;
reg VAR11;
wire VAR10, VAR14;
assign VAR10 = |VAR7;
assign VAR14 = |VAR1;
assign VAR12 = |(VAR7 & select & VAR20);
always@(*) begin
select = VAR15;
if(VAR14 && !VAR11) begin
VAR2 = 0;
select = 1; while(!(VAR1 & select) && (VAR2 < VAR13)) begin
VAR2 = VAR2 + 1;
select = 1 << VAR2;
end
end
else if(VAR10 && !VAR11) begin
VAR2 = 0;
select = VAR15 << 1; if(select == 0) select = 1;
while(!(VAR7 & select) && (VAR2 < VAR13)) begin
VAR2 = VAR2 + 1;
select = select << 1;
if(select == 0) select = 1;
end
end
end
always@(posedge VAR19) begin
if(VAR21)
VAR15 <= (1 << (VAR13 - 1));
end
else if (VAR10 & !VAR11)
VAR15 <= select;
end
always@(posedge VAR19) begin
if(VAR21)
VAR11 <= 0;
end
else if(VAR3)
VAR11 <= 0;
else if (VAR12)
VAR11 <= 1;
end
wire [VAR13-1:0] VAR6 [31:0];
genvar VAR4, VAR18;
generate
for (VAR4 = 0; VAR4 < 32; VAR4 = VAR4 + 1) begin: VAR9
for (VAR18 = 0; VAR18 < VAR13; VAR18 = VAR18 + 1) begin: VAR8
assign VAR6[VAR4][VAR18] = VAR5[VAR18*32+VAR4];
end
end
endgenerate
generate
for (VAR4 = 0; VAR4 < 32; VAR4 = VAR4 + 1) begin: VAR16
assign VAR17[VAR4] = |(VAR6[VAR4] & select);
end
endgenerate
assign VAR20 = select & {VAR13{VAR3}} & VAR7;
endmodule
|
bsd-3-clause
|
YuxuanLing/trunk
|
trunk/references/h265enc_v1.0/rtl/fetch/fetch_cur_luma.v
| 31,488 |
module MODULE1 (
clk ,
VAR45 ,
VAR97 ,
VAR72 ,
VAR63 ,
VAR131 ,
VAR27 ,
VAR132 ,
VAR16 ,
VAR115 ,
VAR18 ,
VAR116 ,
VAR144 ,
VAR98 ,
VAR57 ,
VAR129 ,
VAR31 ,
VAR119 ,
VAR120 ,
VAR34 ,
VAR99 ,
VAR17 ,
VAR62 ,
VAR101 ,
VAR7 ,
VAR122 ,
VAR127 ,
VAR135 ,
VAR106 ,
VAR105 ,
VAR48 ,
VAR6 ,
VAR49 ,
VAR1 ,
VAR95 ,
VAR36 ,
VAR11 ,
VAR8 ,
VAR138 ,
VAR86 ,
VAR47 ,
VAR67 ,
VAR83
);
parameter VAR142 = 0 ,
VAR64 = 1 ;
input [1-1:0] clk ; input [1-1:0] VAR45 ; input VAR97 ;
input VAR72 ;
input [4-1 : 0] VAR63 ; input [4-1 : 0] VAR131 ; input [5-1 : 0] VAR27 ; input [1-1 : 0] VAR132 ; input [2-1 : 0] VAR16 ; input [1-1 : 0] VAR115 ; output [32*VAR35-1 : 0] VAR18 ;
input [4-1:0] VAR116 ; input [4-1:0] VAR144 ; input [5-1:0] VAR98 ; input [1-1:0] VAR57 ; input [3-1:0] VAR129 ; input [1-1:0] VAR31 ; output [64*VAR35-1:0] VAR119 ;
input [4-1:0] VAR120 ; input [4-1:0] VAR34 ; input [5-1:0] VAR99 ; input [1-1:0] VAR17 ; input [2-1:0] VAR62 ; input [1-1:0] VAR101 ; output [32*VAR35-1:0] VAR7 ;
input [4-1:0] VAR122 ; input [4-1:0] VAR127 ; input [5-1:0] VAR135 ; input [1-1:0] VAR106 ; input [2-1:0] VAR105 ; input [1-1:0] VAR48 ; output [32*VAR35-1:0] VAR6 ;
input [4-1:0] VAR49 ; input [4-1:0] VAR1 ; input [5-1:0] VAR95 ; input [1-1:0] VAR36 ; input [2-1:0] VAR11 ; input [1-1:0] VAR8 ; output [32*VAR35-1:0] VAR138 ;
input [1-1:0] VAR86 ; input [32*VAR35-1:0] VAR47 ; input [7-1:0] VAR67 ;
input [1-1:0] VAR83 ;
reg [3-1:0] VAR39 ; reg VAR123 ;
reg [4-1:0] VAR74 ;
reg [4-1:0] VAR66 ;
reg [5-1:0] VAR114 ;
reg [1-1:0] VAR56 ;
reg [2-1:0] VAR21 ;
reg [1-1:0] VAR70 ;
reg [32*VAR35-1:0] VAR61 ;
reg [4-1:0] VAR65 ;
reg [4-1:0] VAR33 ;
reg [5-1:0] VAR90 ;
reg [1-1:0] VAR44 ;
reg [2-1:0] VAR102 ;
reg [1-1:0] VAR10 ;
reg [32*VAR35-1:0] VAR60 ;
reg [4-1:0] VAR139 ;
reg [4-1:0] VAR30 ;
reg [5-1:0] VAR140 ;
reg [1-1:0] VAR130 ;
reg [2-1:0] VAR22 ;
reg [1-1:0] VAR28 ;
reg [32*VAR35-1:0] VAR25 ;
reg [4-1:0] VAR91 ;
reg [4-1:0] VAR93 ;
reg [5-1:0] VAR42 ;
reg [1-1:0] VAR81 ;
reg [2-1:0] VAR89 ;
reg [1-1:0] VAR19 ;
reg [32*VAR35-1:0] VAR14 ;
reg [4-1:0] VAR54 ;
reg [4-1:0] VAR71 ;
reg [5-1:0] VAR134 ;
reg [1-1:0] VAR121 ;
reg [2-1:0] VAR109 ;
reg [1-1:0] VAR78 ;
reg [32*VAR35-1:0] VAR85 ;
reg [4-1:0] VAR111 ;
reg [4-1:0] VAR51 ;
reg [5-1:0] VAR141 ;
reg [1-1:0] VAR40 ;
reg [2-1:0] VAR133 ;
reg [1-1:0] VAR79 ;
reg [32*VAR35-1:0] VAR38 ;
reg [4-1:0] VAR13 ;
reg [4-1:0] VAR125 ;
reg [5-1:0] VAR2 ;
reg [1-1:0] VAR84 ;
reg [2-1:0] VAR3 ;
reg [1-1:0] VAR73 ;
reg [32*VAR35-1:0] VAR82 ;
reg VAR55;
reg [7:0] VAR113;
reg [32*VAR35-1:0] VAR9;
wire [32*VAR35-1:0] VAR88;
reg VAR32;
reg [7:0] VAR29;
reg [32*VAR35-1:0] VAR46;
wire [32*VAR35-1:0] VAR50;
reg VAR96;
reg [7:0] VAR112;
reg [32*VAR35-1:0] VAR59;
wire [32*VAR35-1:0] VAR136;
reg VAR26;
reg [7:0] VAR37;
reg [32*VAR35-1:0] VAR118;
wire [32*VAR35-1:0] VAR41;
reg VAR20;
reg [7:0] VAR5;
reg [32*VAR35-1:0] VAR87;
wire [32*VAR35-1:0] VAR94;
reg [32*VAR35-1:0] VAR15, VAR100;
reg VAR75;
reg [7:0] VAR58;
reg [32*VAR35-1:0] VAR80;
wire [32*VAR35-1:0] VAR69;
reg VAR53;
reg [7:0] VAR117;
reg [32*VAR35-1:0] VAR76;
wire [32*VAR35-1:0] VAR128;
reg [32*VAR35-1:0] VAR7 ; reg [32*VAR35-1:0] VAR6 ; reg [32*VAR35-1:0] VAR138 ; reg [32*VAR35-1:0] VAR18 ;
always @(posedge clk or negedge VAR45 ) begin
if( !VAR45 ) begin
VAR39 <= 0 ;
VAR123 <= 0 ;
end
else if( VAR97 ) begin
VAR123 <= ~VAR123 ;
if( VAR39 == 4 )
VAR39 <= 0 ;
end
else begin
VAR39 <= VAR39 + 1 ;
end
end
end
always @ begin
case (VAR39)
'd0: begin
VAR32 = 'b0;
VAR29 = 'b0;
VAR46 = 'b0;
VAR10 = VAR8;
VAR44 = VAR36 ;
VAR102 = VAR11;
VAR65 = VAR49;
VAR33 = VAR1;
VAR90 = VAR95;
end
'd1: begin
VAR32 = VAR83;
VAR29 = VAR67;
VAR46 = VAR47;
VAR10 = 'b0;
VAR44 = 'b0;
VAR102 = 'b0;
VAR65 = 'b0;
VAR33 = 'b0;
VAR90 = 'b0;
end
'd2: begin
VAR32 = 'b0;
VAR29 = 'b0;
VAR46 = 'b0;
VAR10 = ( VAR72==VAR142 ) ? VAR115 : VAR31 ;
VAR44 = ( VAR72==VAR142 ) ? VAR132 : VAR57 ;
VAR102 = ( VAR72==VAR142 ) ? VAR16 : VAR129 ;
VAR65 = ( VAR72==VAR142 ) ? VAR63 : VAR116 ;
VAR33 = ( VAR72==VAR142 ) ? VAR131 : VAR144 ;
VAR90 = ( VAR72==VAR142 ) ? VAR27 : VAR98 ;
end
'd3: begin
VAR32 = 'b0;
VAR29 = 'b0;
VAR46 = 'b0;
VAR10 = ( VAR72==VAR142 ) ? VAR48 : VAR101 ;
VAR44 = ( VAR72==VAR142 ) ? VAR106 : VAR17 ;
VAR102 = ( VAR72==VAR142 ) ? VAR105 : VAR62 ;
VAR65 = ( VAR72==VAR142 ) ? VAR122 : VAR120 ;
VAR33 = ( VAR72==VAR142 ) ? VAR127 : VAR34 ;
VAR90 = ( VAR72==VAR142 ) ? VAR135 : VAR99 ;
end
'd4: begin
VAR32 = 'b0;
VAR29 = 'b0;
VAR46 = 'b0;
VAR10 = VAR48;
VAR44 = VAR106 ;
VAR102 = VAR105;
VAR65 = VAR122;
VAR33 = VAR127;
VAR90 = VAR135;
end
default: begin
VAR32 = 'b0;
VAR29 = 'b0;
VAR46 = 'b0;
VAR10 = 'b0;
VAR44 = 'b0;
VAR102 = 'b0;
VAR65 = 'b0;
VAR33 = 'b0;
VAR90 = 'b0;
end
endcase
end
always @ begin
case (VAR39)
'd0: begin
VAR26 = 'b0;
VAR37 = 'b0;
VAR118 = 'b0;
VAR19 = ( VAR72==VAR142 ) ? VAR48 : VAR101 ;
VAR81 = ( VAR72==VAR142 ) ? VAR106 : VAR17 ;
VAR89 = ( VAR72==VAR142 ) ? VAR105 : VAR62 ;
VAR91 = ( VAR72==VAR142 ) ? VAR122 : VAR120 ;
VAR93 = ( VAR72==VAR142 ) ? VAR127 : VAR34 ;
VAR42 = ( VAR72==VAR142 ) ? VAR135 : VAR99 ;
end
'd1: begin
VAR26 = 'b0;
VAR37 = 'b0;
VAR118 = 'b0;
VAR19 = VAR48;
VAR81 = VAR106 ;
VAR89 = VAR105;
VAR91 = VAR122;
VAR93 = VAR127;
VAR42 = VAR135;
end
'd2: begin
VAR26 = 'b0;
VAR37 = 'b0;
VAR118 = 'b0;
VAR19 = VAR8;
VAR81 = VAR36 ;
VAR89 = VAR11;
VAR91 = VAR49;
VAR93 = VAR1;
VAR42 = VAR95;
end
'd3: begin
VAR26 = VAR83;
VAR37 = VAR67;
VAR118 = VAR47;
VAR19 = 'b0;
VAR81 = 'b0;
VAR89 = 'b0;
VAR91 = 'b0;
VAR93 = 'b0;
VAR42 = 'b0;
end
'd4: begin
VAR26 = 'b0;
VAR37 = 'b0;
VAR118 = 'b0;
VAR19 = ( VAR72==VAR142 ) ? VAR115 : VAR31 ;
VAR81 = ( VAR72==VAR142 ) ? VAR132 : VAR57 ;
VAR89 = ( VAR72==VAR142 ) ? VAR16 : VAR129 ;
VAR91 = ( VAR72==VAR142 ) ? VAR63 : VAR116 ;
VAR93 = ( VAR72==VAR142 ) ? VAR131 : VAR144 ;
VAR42 = ( VAR72==VAR142 ) ? VAR27 : VAR98 ;
end
default: begin
VAR26 = 'b0;
VAR37 = 'b0;
VAR118 = 'b0;
VAR19 = 'b0;
VAR81 = 'b0;
VAR89 = 'b0;
VAR91 = 'b0;
VAR93 = 'b0;
VAR42 = 'b0;
end
endcase
end
always @ begin
case (VAR123)
'd0: begin
VAR75 = VAR83;
VAR58 = VAR67;
VAR80 = VAR47;
VAR79 = 'b0;
VAR40 = 'b0;
VAR133 = 'b0;
VAR111 = 'b0;
VAR51 = 'b0;
VAR141 = 'b0;
end
'd1: begin
VAR75 = 'b0;
VAR58 = 'b0;
VAR80 = 'b0;
VAR79 = VAR31;
VAR40 = VAR57 ;
VAR133 = VAR129;
VAR111 = VAR116 + 'd8;
VAR51 = VAR144;
VAR141 = VAR98;
end
endcase
end
always @ begin
case (VAR39)
'd0:begin
VAR15 = VAR94 ;
VAR7 = VAR41 ;
VAR6 = ( VAR72==VAR142 ) ? VAR41 : VAR136 ;
VAR138 = VAR50 ;
VAR18 = VAR94 ;
end
'd1:begin
VAR15 = VAR88 ;
VAR7 = VAR94 ;
VAR6 = ( VAR72==VAR142 ) ? VAR94 : VAR41 ;
VAR138 = VAR136 ;
VAR18 = VAR88 ;
end
'd2:begin
VAR15 = VAR50 ;
VAR7 = VAR88 ;
VAR6 = ( VAR72==VAR142 ) ? VAR88 : VAR94 ;
VAR138 = VAR41 ;
VAR18 = VAR50 ;
end
'd3:begin
VAR15 = VAR136 ;
VAR7 = VAR50 ;
VAR6 = ( VAR72==VAR142 ) ? VAR50 : VAR88 ;
VAR138 = VAR94 ;
VAR18 = VAR136 ;
end
'd4:begin
VAR15 = VAR41 ;
VAR7 = VAR136 ;
VAR6 = ( VAR72==VAR142 ) ? VAR136 : VAR50 ;
VAR138 = VAR88 ;
VAR18 = VAR41 ;
end
default: begin
VAR15 = 0 ;
VAR7 = 0 ;
VAR6 = 0 ;
VAR138 = 0 ;
VAR18 = 0 ;
end
endcase
end
always @ (*) begin
case (VAR123)
'd0:begin
VAR100 = VAR128;
end
'd1:begin
VAR100 = VAR69;
end
endcase
end
assign VAR119 = {VAR15,VAR100};
VAR4 VAR68 (
.clk (clk ),
.VAR45 (VAR45 ),
.VAR107 (VAR55 ),
.VAR24 (VAR113),
.VAR110 (VAR9),
.VAR92 (VAR70 ),
.VAR124 (VAR56 ),
.VAR77 (VAR21 ),
.VAR143 (VAR74),
.VAR108 (VAR66),
.VAR137 (VAR114 ),
.VAR12 (VAR88)
);
VAR4 VAR104 (
.clk (clk ),
.VAR45 (VAR45 ),
.VAR107 (VAR32 ),
.VAR24 (VAR29),
.VAR110 (VAR46),
.VAR92 (VAR10 ),
.VAR124 (VAR44 ),
.VAR77 (VAR102 ),
.VAR143 (VAR65),
.VAR108 (VAR33),
.VAR137 (VAR90 ),
.VAR12 (VAR50)
);
VAR4 VAR23 (
.clk (clk ),
.VAR45 (VAR45),
.VAR107 (VAR96 ),
.VAR24 (VAR112),
.VAR110 (VAR59),
.VAR92 (VAR28 ),
.VAR124 (VAR130 ),
.VAR77 (VAR22 ),
.VAR143 (VAR139),
.VAR108 (VAR30),
.VAR137 (VAR140 ),
.VAR12 (VAR136)
);
VAR4 VAR126 (
.clk (clk ),
.VAR45 (VAR45),
.VAR107 (VAR26 ),
.VAR24 (VAR37),
.VAR110 (VAR118),
.VAR92 (VAR19 ),
.VAR124 (VAR81 ),
.VAR77 (VAR89 ),
.VAR143 (VAR91),
.VAR108 (VAR93),
.VAR137 (VAR42 ),
.VAR12 (VAR41)
);
VAR4 VAR103 (
.clk (clk ),
.VAR45 (VAR45),
.VAR107 (VAR20 ),
.VAR24 (VAR5),
.VAR110 (VAR87),
.VAR92 (VAR78 ),
.VAR124 (VAR121 ),
.VAR77 (VAR109 ),
.VAR143 (VAR54),
.VAR108 (VAR71),
.VAR137 (VAR134 ),
.VAR12 (VAR94)
);
VAR4 VAR52 (
.clk (clk ),
.VAR45 (VAR45),
.VAR107 (VAR75 ),
.VAR24 (VAR58),
.VAR110 (VAR80),
.VAR92 (VAR79 ),
.VAR124 (VAR40 ),
.VAR77 (VAR133 ),
.VAR143 (VAR111),
.VAR108 (VAR51),
.VAR137 (VAR141 ),
.VAR12 (VAR69)
);
VAR4 VAR43 (
.clk (clk ),
.VAR45 (VAR45),
.VAR107 (VAR53 ),
.VAR24 (VAR117),
.VAR110 (VAR76),
.VAR92 (VAR73 ),
.VAR124 (VAR84 ),
.VAR77 (VAR3 ),
.VAR143 (VAR13),
.VAR108 (VAR125),
.VAR137 (VAR2 ),
.VAR12 (VAR128)
);
endmodule
|
gpl-3.0
|
alexforencich/hdg2000
|
fpga/lib/axis/rtl/axis_mux_64_4.v
| 11,263 |
module MODULE1 #
(
parameter VAR60 = 64,
parameter VAR35 = (VAR60/8)
)
(
input wire clk,
input wire rst,
input wire [VAR60-1:0] VAR28,
input wire [VAR35-1:0] VAR22,
input wire VAR56,
output wire VAR30,
input wire VAR5,
input wire VAR57,
input wire [VAR60-1:0] VAR1,
input wire [VAR35-1:0] VAR41,
input wire VAR37,
output wire VAR18,
input wire VAR8,
input wire VAR20,
input wire [VAR60-1:0] VAR55,
input wire [VAR35-1:0] VAR32,
input wire VAR2,
output wire VAR10,
input wire VAR11,
input wire VAR42,
input wire [VAR60-1:0] VAR6,
input wire [VAR35-1:0] VAR25,
input wire VAR34,
output wire VAR43,
input wire VAR52,
input wire VAR68,
output wire [VAR60-1:0] VAR15,
output wire [VAR35-1:0] VAR16,
output wire VAR13,
input wire VAR61,
output wire VAR7,
output wire VAR49,
input wire enable,
input wire [1:0] select
);
reg [1:0] VAR14 = 0, VAR26;
reg VAR47 = 0, VAR46;
reg VAR19 = 0, VAR40;
reg VAR3 = 0, VAR45;
reg VAR29 = 0, VAR23;
reg VAR66 = 0, VAR31;
reg [VAR60-1:0] VAR24;
reg [VAR35-1:0] VAR58;
reg VAR33;
reg VAR50 = 0;
reg VAR4;
reg VAR59;
wire VAR63;
assign VAR30 = VAR19;
assign VAR18 = VAR3;
assign VAR10 = VAR29;
assign VAR43 = VAR66;
reg VAR36;
always @* begin
case (select)
2'd0: VAR36 = VAR56;
2'd1: VAR36 = VAR37;
2'd2: VAR36 = VAR2;
2'd3: VAR36 = VAR34;
endcase
end
reg [VAR60-1:0] VAR12;
reg [VAR35-1:0] VAR39;
reg VAR54;
reg VAR27;
reg VAR51;
reg VAR67;
always @* begin
case (VAR14)
2'd0: begin
VAR12 = VAR28;
VAR39 = VAR22;
VAR54 = VAR56;
VAR27 = VAR30;
VAR51 = VAR5;
VAR67 = VAR57;
end
2'd1: begin
VAR12 = VAR1;
VAR39 = VAR41;
VAR54 = VAR37;
VAR27 = VAR18;
VAR51 = VAR8;
VAR67 = VAR20;
end
2'd2: begin
VAR12 = VAR55;
VAR39 = VAR32;
VAR54 = VAR2;
VAR27 = VAR10;
VAR51 = VAR11;
VAR67 = VAR42;
end
2'd3: begin
VAR12 = VAR6;
VAR39 = VAR25;
VAR54 = VAR34;
VAR27 = VAR43;
VAR51 = VAR52;
VAR67 = VAR68;
end
endcase
end
always @* begin
VAR26 = VAR14;
VAR46 = VAR47;
VAR40 = 0;
VAR45 = 0;
VAR23 = 0;
VAR31 = 0;
if (VAR47) begin
if (VAR54 & VAR27) begin
VAR46 = ~VAR51;
end
end else if (enable & VAR36) begin
VAR46 = 1;
VAR26 = select;
end
case (VAR26)
2'd0: VAR40 = VAR63 & VAR46;
2'd1: VAR45 = VAR63 & VAR46;
2'd2: VAR23 = VAR63 & VAR46;
2'd3: VAR31 = VAR63 & VAR46;
endcase
VAR24 = VAR12;
VAR58 = VAR39;
VAR33 = VAR54 & VAR27 & VAR47;
VAR4 = VAR51;
VAR59 = VAR67;
end
always @(posedge clk or posedge rst) begin
if (rst) begin
VAR14 <= 0;
VAR47 <= 0;
VAR19 <= 0;
VAR3 <= 0;
VAR29 <= 0;
VAR66 <= 0;
end else begin
VAR14 <= VAR26;
VAR47 <= VAR46;
VAR19 <= VAR40;
VAR3 <= VAR45;
VAR29 <= VAR23;
VAR66 <= VAR31;
end
end
reg [VAR60-1:0] VAR21 = 0;
reg [VAR35-1:0] VAR38 = 0;
reg VAR44 = 0;
reg VAR9 = 0;
reg VAR65 = 0;
reg [VAR60-1:0] VAR53 = 0;
reg [VAR35-1:0] VAR48 = 0;
reg VAR17 = 0;
reg VAR64 = 0;
reg VAR62 = 0;
assign VAR15 = VAR21;
assign VAR16 = VAR38;
assign VAR13 = VAR44;
assign VAR7 = VAR9;
assign VAR49 = VAR65;
assign VAR63 = VAR61 | (~VAR17 & ~VAR44) | (~VAR17 & ~VAR33);
always @(posedge clk or posedge rst) begin
if (rst) begin
VAR21 <= 0;
VAR38 <= 0;
VAR44 <= 0;
VAR9 <= 0;
VAR65 <= 0;
VAR50 <= 0;
VAR53 <= 0;
VAR48 <= 0;
VAR17 <= 0;
VAR64 <= 0;
VAR62 <= 0;
end else begin
VAR50 <= VAR63;
if (VAR50) begin
if (VAR61 | ~VAR44) begin
VAR21 <= VAR24;
VAR38 <= VAR58;
VAR44 <= VAR33;
VAR9 <= VAR4;
VAR65 <= VAR59;
end else begin
VAR53 <= VAR24;
VAR48 <= VAR58;
VAR17 <= VAR33;
VAR64 <= VAR4;
VAR62 <= VAR59;
end
end else if (VAR61) begin
VAR21 <= VAR53;
VAR38 <= VAR48;
VAR44 <= VAR17;
VAR9 <= VAR64;
VAR65 <= VAR62;
VAR53 <= 0;
VAR48 <= 0;
VAR17 <= 0;
VAR64 <= 0;
VAR62 <= 0;
end
end
end
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/or3b/sky130_fd_sc_lp__or3b_2.v
| 2,209 |
module MODULE2 (
VAR7 ,
VAR5 ,
VAR1 ,
VAR4 ,
VAR8,
VAR3,
VAR2 ,
VAR10
);
output VAR7 ;
input VAR5 ;
input VAR1 ;
input VAR4 ;
input VAR8;
input VAR3;
input VAR2 ;
input VAR10 ;
VAR9 VAR6 (
.VAR7(VAR7),
.VAR5(VAR5),
.VAR1(VAR1),
.VAR4(VAR4),
.VAR8(VAR8),
.VAR3(VAR3),
.VAR2(VAR2),
.VAR10(VAR10)
);
endmodule
module MODULE2 (
VAR7 ,
VAR5 ,
VAR1 ,
VAR4
);
output VAR7 ;
input VAR5 ;
input VAR1 ;
input VAR4;
supply1 VAR8;
supply0 VAR3;
supply1 VAR2 ;
supply0 VAR10 ;
VAR9 VAR6 (
.VAR7(VAR7),
.VAR5(VAR5),
.VAR1(VAR1),
.VAR4(VAR4)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/sdfrtp_ov2/sky130_fd_sc_lp__sdfrtp_ov2.behavioral.pp.v
| 2,831 |
module MODULE1 (
VAR12 ,
VAR19 ,
VAR29 ,
VAR6 ,
VAR21 ,
VAR1,
VAR30 ,
VAR24 ,
VAR31 ,
VAR17
);
output VAR12 ;
input VAR19 ;
input VAR29 ;
input VAR6 ;
input VAR21 ;
input VAR1;
input VAR30 ;
input VAR24 ;
input VAR31 ;
input VAR17 ;
wire VAR22 ;
wire VAR25 ;
wire VAR10 ;
reg VAR27 ;
wire VAR8 ;
wire VAR14 ;
wire VAR20 ;
wire VAR13;
wire VAR15 ;
wire VAR18 ;
wire VAR26 ;
wire VAR28 ;
wire VAR5 ;
wire VAR23 ;
wire VAR2 ;
not VAR9 (VAR25 , VAR13 );
VAR7 VAR4 (VAR10, VAR8, VAR14, VAR20 );
VAR11 VAR16 (VAR22 , VAR10, VAR15, VAR25, VAR27, VAR30, VAR24);
assign VAR18 = ( VAR30 === 1'b1 );
assign VAR26 = ( ( VAR13 === 1'b1 ) && VAR18 );
assign VAR28 = ( ( VAR20 === 1'b0 ) && VAR26 );
assign VAR5 = ( ( VAR20 === 1'b1 ) && VAR26 );
assign VAR23 = ( ( VAR8 !== VAR14 ) && VAR26 );
assign VAR2 = ( ( VAR1 === 1'b1 ) && VAR18 );
buf VAR3 (VAR12 , VAR22 );
endmodule
|
apache-2.0
|
alr46664/lab4
|
verilog_source/pipeline.v
| 3,052 |
module MODULE1(
VAR43,
VAR8
);
input VAR43, VAR8;
wire VAR3;
wire [VAR36-1:0] VAR32, VAR16;
wire [VAR56-1:0] VAR1;
wire [VAR15-1:0] VAR49;
wire [VAR6-1:0] VAR51;
wire VAR41;
wire [VAR15-1:0] VAR25, VAR55;
wire [VAR6-1:0] VAR34, VAR5, VAR18;
wire [VAR36-1:0] VAR35;
wire [VAR57-1:0] VAR17;
wire VAR42;
wire [VAR6-1:0] VAR30;
wire [VAR29-1:0] VAR53;
wire [VAR15-1:0] VAR44;
wire [VAR57-1:0] VAR21;
wire [VAR6-1:0] VAR47;
wire [VAR15-1:0] VAR38;
wire [VAR57-1:0] VAR54;
VAR37 VAR7(.VAR43(VAR43), .VAR8(VAR8),
.VAR11(VAR3), .VAR28(VAR32), .VAR39(VAR16),
.VAR1(VAR1)
);
VAR58 VAR23(
.VAR43(VAR43), .VAR8(VAR8),
.VAR28(VAR16),
.VAR1(VAR1),
.VAR40(VAR49), .VAR12(VAR51), .VAR14(VAR41),
.VAR25(VAR25), .VAR55(VAR55),
.VAR34(VAR34), .VAR5(VAR5), .VAR18(VAR18),
.VAR39(VAR35),
.VAR45(VAR17)
);
VAR22 VAR24(
.VAR43(VAR43), .VAR8(VAR8),
.VAR33(VAR17), .VAR28(VAR35),
.VAR25(VAR25), .VAR55(VAR55),
.VAR34(VAR34), .VAR5(VAR5), .VAR18(VAR18),
.VAR11(VAR3), .VAR39(VAR32),
.VAR13(VAR42), .VAR52(VAR30), .addr(VAR53),
.VAR40(VAR44), .VAR10(VAR21)
);
VAR26 VAR2(
.VAR43(VAR43), .VAR8(VAR8),
.VAR33(VAR21),
.VAR19(VAR42), .VAR52(VAR30), .addr(VAR53),
.VAR46(VAR44),
.VAR50(VAR47), .VAR9(VAR38),
.VAR10(VAR54)
);
VAR4 VAR31(
.VAR43(VAR43), .VAR8(VAR8),
.VAR33(VAR54),
.VAR52(VAR47), .addr(VAR38),
.VAR20(VAR51), .VAR48(VAR49), .VAR27(VAR41)
);
endmodule
|
gpl-3.0
|
camacazio/de0_nano_DAC
|
DE0_12bitDAC_controller/db/adc_pll_altpll.v
| 3,935 |
module MODULE1
(
clk,
VAR33) ;
output [4:0] clk;
input [1:0] VAR33;
tri0 [1:0] VAR33;
wire [4:0] VAR15;
wire VAR10;
VAR17 VAR2
(
.VAR35(),
.clk(VAR15),
.VAR21(),
.VAR16(VAR10),
.VAR18(VAR10),
.VAR33(VAR33),
.VAR36(),
.VAR23(),
.VAR31(),
.VAR14(),
.VAR29(),
.VAR3()
,
.VAR5(1'b0),
.VAR6(1'b0),
.VAR32(1'b0),
.VAR1(1'b1),
.VAR27({3{1'b0}}),
.VAR7(1'b0),
.VAR25(1'b0),
.VAR34(1'b0),
.VAR30(1'b1),
.VAR26(1'b0)
);
VAR2.VAR28 = "VAR4",
VAR2.VAR20 = 125,
VAR2.VAR12 = 50,
VAR2.VAR24 = 8,
VAR2.VAR19 = "0",
VAR2.VAR13 = 20000,
VAR2.VAR11 = "VAR22",
VAR2.VAR9 = "VAR4",
VAR2.VAR8 = "VAR17";
assign
clk = {VAR15[4:0]};
endmodule
|
gpl-3.0
|
sam-falvo/polaris
|
ramcon/bench/verilog/ramcon.v
| 8,398 |
module MODULE1();
reg [15:0] VAR4;
reg VAR23, VAR12;
wire VAR17;
wire VAR9, VAR28;
wire VAR13, VAR32;
wire VAR33, VAR36;
wire VAR25;
wire [15:0] VAR22;
wire [22:0] VAR18;
reg VAR34;
wire [15:0] VAR30;
reg [15:0] VAR15;
wire VAR5;
reg VAR7;
reg [1:0] VAR3;
reg [22:0] VAR39;
reg VAR6, VAR19;
reg [15:0] VAR24;
assign VAR22 = (~VAR33) ? VAR24 : 16'VAR2;
VAR31 VAR21(
.VAR17(VAR17),
.VAR28(VAR28),
.VAR9(VAR9),
.VAR13(VAR13),
.VAR32(VAR32),
.VAR36(VAR36),
.VAR33(VAR33),
.VAR25(VAR25),
.VAR22(VAR22),
.VAR18(VAR18),
.VAR34(VAR34),
.VAR30(VAR30),
.VAR5(VAR5),
.VAR15(VAR15),
.VAR39(VAR39),
.VAR3(VAR3),
.VAR6(VAR6),
.VAR19(VAR19),
.VAR7(VAR7),
.VAR23(VAR23),
.VAR12(VAR12)
);
always begin
VAR23 <= ~VAR23; end
task VAR16;
input [15:0] VAR37;
begin
VAR4 <= VAR37;
end
endtask
task VAR38;
input VAR10;
begin
if(VAR5 !== VAR10) begin
end
end
endtask
task VAR29;
input [15:0] VAR10;
begin
if(VAR30 !== VAR10) begin
end
end
endtask
task VAR14;
input [15:0] VAR10;
begin
if(VAR22 !== VAR10) begin
end
end
endtask
task VAR35;
input [22:0] VAR10;
begin
if(VAR18 !== VAR10) begin
end
end
endtask
task VAR1;
input VAR10;
begin
if(VAR25 !== VAR10) begin
end
end
endtask
task VAR27;
input VAR10;
begin
if(VAR33 !== VAR10) begin
end
end
endtask
task VAR40;
input VAR10;
begin
if(VAR36 !== VAR10) begin
end
end
endtask
task VAR8;
input VAR10;
begin
if(VAR32 !== VAR10) begin
end
end
endtask
task VAR26;
input VAR10;
begin
if(VAR13 !== VAR10) begin
end
end
endtask
task VAR11;
input VAR10;
begin
if(VAR17 !== VAR10) begin
end
end
endtask
task VAR20;
input VAR10;
begin
if(VAR9 !== VAR10) begin
end
end
endtask
|
mpl-2.0
|
sorgelig/Apogee_MIST
|
k580vv55.v
| 1,909 |
module MODULE1
(
input reset,
input VAR13,
input [1:0] addr,
input VAR9,
input [7:0] VAR5,
output reg[7:0] VAR15,
input [7:0] VAR10,
output [7:0] VAR8,
input [7:0] VAR12,
output [7:0] VAR4,
input [7:0] VAR1,
output [7:0] VAR7
);
reg [7:0] VAR2;
reg [7:0] VAR11;
reg [7:0] VAR14;
reg [7:0] VAR6;
assign VAR8 = VAR2[4] ? 8'hFF : VAR11;
assign VAR4 = VAR2[1] ? 8'hFF : VAR14;
assign VAR7 ={VAR2[3] ? 4'hF : VAR6[7:4], VAR2[0] ? 4'hF : VAR6[3:0]};
always @* begin
case(addr)
0: VAR15 = VAR2[4] ? VAR10 : VAR11;
1: VAR15 = VAR2[1] ? VAR12 : VAR14;
2: VAR15 ={VAR2[3] ? VAR1[7:4] : VAR6[7:4], VAR2[0] ? VAR1[3:0] : VAR6[3:0]};
3: VAR15 = 0;
endcase
end
always @(posedge VAR13, posedge reset) begin
reg VAR3;
if (reset) begin
{VAR11,VAR14,VAR6,VAR2} <= {8'h00,8'h00,8'h00,8'hFF};
end else begin
VAR3 <= VAR9;
if(VAR3 & ~VAR9) begin
case(addr)
0: VAR11 <= VAR5;
1: VAR14 <= VAR5;
2: VAR6 <= VAR5;
default: begin
if (~VAR5[7]) VAR6[VAR5[3:1]] <= VAR5[0];
end
else {VAR11,VAR14,VAR6,VAR2} <= {8'h00,8'h00,8'h00,VAR5};
end
endcase
end
end
end
endmodule
|
bsd-2-clause
|
nishtahir/arty-blaze
|
src/bd/system/ip/system_auto_us_0/system_auto_us_0_stub.v
| 5,253 |
module MODULE1(VAR25, VAR3, VAR65,
VAR17, VAR10, VAR49, VAR63, VAR37, VAR42,
VAR46, VAR68, VAR15, VAR60, VAR20, VAR21,
VAR12, VAR72, VAR41, VAR67, VAR8, VAR14,
VAR27, VAR11, VAR18, VAR24, VAR69, VAR39,
VAR38, VAR51, VAR50, VAR71, VAR45, VAR29,
VAR16, VAR23, VAR59, VAR19, VAR30, VAR64,
VAR33, VAR9, VAR5, VAR70, VAR2, VAR53,
VAR35, VAR34, VAR7, VAR48, VAR4, VAR32,
VAR57, VAR31, VAR6, VAR44, VAR43, VAR22,
VAR55, VAR26, VAR61, VAR13, VAR54, VAR66,
VAR40, VAR1, VAR52, VAR58, VAR28, VAR62,
VAR36, VAR47, VAR56)
;
input VAR25;
input VAR3;
input [31:0]VAR65;
input [7:0]VAR17;
input [2:0]VAR10;
input [1:0]VAR49;
input [0:0]VAR63;
input [3:0]VAR37;
input [2:0]VAR42;
input [3:0]VAR46;
input [3:0]VAR68;
input VAR15;
output VAR60;
input [31:0]VAR20;
input [3:0]VAR21;
input VAR12;
input VAR72;
output VAR41;
output [1:0]VAR67;
output VAR8;
input VAR14;
input [31:0]VAR27;
input [7:0]VAR11;
input [2:0]VAR18;
input [1:0]VAR24;
input [0:0]VAR69;
input [3:0]VAR39;
input [2:0]VAR38;
input [3:0]VAR51;
input [3:0]VAR50;
input VAR71;
output VAR45;
output [31:0]VAR29;
output [1:0]VAR16;
output VAR23;
output VAR59;
input VAR19;
output [31:0]VAR30;
output [7:0]VAR64;
output [2:0]VAR33;
output [1:0]VAR9;
output [0:0]VAR5;
output [3:0]VAR70;
output [2:0]VAR2;
output [3:0]VAR53;
output [3:0]VAR35;
output VAR34;
input VAR7;
output [127:0]VAR48;
output [15:0]VAR4;
output VAR32;
output VAR57;
input VAR31;
input [1:0]VAR6;
input VAR44;
output VAR43;
output [31:0]VAR22;
output [7:0]VAR55;
output [2:0]VAR26;
output [1:0]VAR61;
output [0:0]VAR13;
output [3:0]VAR54;
output [2:0]VAR66;
output [3:0]VAR40;
output [3:0]VAR1;
output VAR52;
input VAR58;
input [127:0]VAR28;
input [1:0]VAR62;
input VAR36;
input VAR47;
output VAR56;
endmodule
|
apache-2.0
|
ShirmanXia/EE469SPRING16
|
lab3/db/ip/nios_system/nios_system.v
| 80,297 |
module MODULE1 (
output wire [31:0] VAR44, output wire [31:0] VAR273, input wire VAR237, output wire [2:0] VAR378, input wire VAR343, input wire [31:0] VAR198, input wire VAR106, input wire VAR479, input wire VAR248, output wire [3:0] VAR111, output wire [3:0] VAR33, output wire [3:0] VAR418, output wire [3:0] VAR320, output wire [3:0] VAR184, output wire [3:0] VAR93, input wire [3:0] VAR95, output wire [9:0] VAR364, output wire [31:0] VAR312, output wire [5:0] VAR37, output wire [5:0] VAR318, input wire [31:0] VAR476, input wire [31:0] VAR65, output wire VAR181, output wire [5:0] VAR316, input wire VAR311, output wire [10:0] VAR235, output wire VAR140, inout wire [15:0] VAR409, output wire VAR278, output wire VAR229, input wire [9:0] VAR308 );
wire [31:0] VAR335; wire VAR301; wire VAR215; wire [18:0] VAR138; wire [3:0] VAR329; wire VAR222; wire VAR399; wire [31:0] VAR349; wire [31:0] VAR203; wire VAR357; wire [18:0] VAR354; wire VAR228; wire VAR277; wire [31:0] VAR227; wire VAR217; wire [0:0] VAR146; wire VAR287; wire VAR271; wire [31:0] VAR186; wire [31:0] VAR426; wire VAR118; wire VAR353; wire [8:0] VAR279; wire VAR352; wire [3:0] VAR292; wire VAR213; wire [31:0] VAR245; wire VAR48; wire [31:0] VAR339; wire [14:0] VAR49; wire [3:0] VAR195; wire VAR87; wire [31:0] VAR24; wire VAR141; wire VAR432; wire [31:0] VAR209; wire [1:0] VAR110; wire VAR77; wire [31:0] VAR50; wire [31:0] VAR86; wire [1:0] VAR376; wire VAR92; wire [31:0] VAR344; wire [1:0] VAR445; wire VAR351; wire [31:0] VAR205; wire VAR304; wire [31:0] VAR69; wire [1:0] VAR290; wire VAR97; wire [31:0] VAR408; wire VAR123; wire [31:0] VAR307; wire [1:0] VAR433; wire VAR473; wire [31:0] VAR174; wire VAR270; wire [31:0] VAR130; wire [1:0] VAR457; wire VAR348; wire [31:0] VAR421; wire VAR333; wire [31:0] VAR242; wire [1:0] VAR128; wire VAR455; wire [31:0] VAR470; wire VAR258; wire [31:0] VAR293; wire [1:0] VAR467; wire VAR474; wire [31:0] VAR327; wire [31:0] VAR332; wire [1:0] VAR53; wire [31:0] VAR165; wire [1:0] VAR379; wire VAR11; wire [31:0] VAR359; wire [1:0] VAR137; wire VAR179; wire [31:0] VAR163; wire VAR453; wire [31:0] VAR85; wire [1:0] VAR380; wire VAR112; wire [31:0] VAR206; wire VAR393; wire [31:0] VAR153; wire [1:0] VAR244; wire VAR171; wire [31:0] VAR9; wire VAR239; wire [31:0] VAR107; wire [1:0] VAR102; wire VAR465; wire [31:0] VAR6; wire VAR212; wire [31:0] VAR415; wire [1:0] VAR219; wire VAR103; wire [31:0] VAR262; wire VAR451; wire [31:0] VAR151; wire [1:0] VAR94; wire VAR173; wire [31:0] VAR46; wire VAR250; wire [31:0] VAR131; wire [1:0] VAR142; wire VAR155; wire [31:0] VAR121; wire VAR291; wire [31:0] VAR400; wire [1:0] VAR96; wire VAR7; wire [31:0] VAR161; wire VAR241; wire [31:0] VAR119; wire [1:0] VAR13; wire VAR221; wire [31:0] VAR485; wire VAR305; wire [31:0] VAR461; wire [1:0] VAR116; wire VAR188; wire [31:0] VAR158; wire VAR314; wire [31:0] VAR427; wire [1:0] VAR412; wire VAR139; wire [31:0] VAR22; wire VAR176; wire [31:0] VAR88; wire [1:0] VAR283; wire VAR472; wire [31:0] VAR164; wire VAR115; wire [31:0] VAR5; wire [1:0] VAR63; wire VAR431; wire [31:0] VAR411; wire [31:0] VAR420; wire [1:0] VAR78; wire [31:0] VAR387; wire [1:0] VAR391; wire [31:0] VAR193; wire [1:0] VAR56; wire [31:0] VAR61; wire [1:0] VAR182; wire [31:0] VAR253; wire [1:0] VAR267; wire [31:0] VAR462; wire [1:0] VAR274; wire VAR82; wire [31:0] VAR32; wire VAR177; wire VAR285; wire VAR459;
VAR12 VAR439 (
.clk (VAR248), .VAR342 (~VAR177), .address (VAR110), .VAR336 (~VAR77), .VAR23 (VAR50), .VAR407 (VAR432), .VAR266 (VAR209), .VAR302 (VAR364) );
VAR145 VAR89 (
.clk (VAR248), .VAR342 (~VAR177), .address (VAR412), .VAR336 (~VAR139), .VAR23 (VAR22), .VAR407 (VAR314), .VAR266 (VAR427), .VAR302 (VAR44) );
VAR145 VAR98 (
.clk (VAR248), .VAR342 (~VAR177), .address (VAR283), .VAR336 (~VAR472), .VAR23 (VAR164), .VAR407 (VAR176), .VAR266 (VAR88), .VAR302 (VAR273) );
VAR317 VAR321 (
.clk (VAR248), .VAR342 (~VAR177), .address (VAR182), .VAR266 (VAR61), .VAR259 (VAR237) );
VAR447 VAR477 (
.clk (VAR248), .VAR342 (~VAR177), .address (VAR63), .VAR336 (~VAR431), .VAR23 (VAR411), .VAR407 (VAR115), .VAR266 (VAR5), .VAR302 (VAR378) );
VAR317 VAR367 (
.clk (VAR248), .VAR342 (~VAR177), .address (VAR267), .VAR266 (VAR253), .VAR259 (VAR343) );
VAR17 VAR73 (
.clk (VAR248), .VAR342 (~VAR177), .address (VAR78), .VAR266 (VAR420), .VAR259 (VAR198) );
VAR317 VAR323 (
.clk (VAR248), .VAR342 (~VAR177), .address (VAR56), .VAR266 (VAR193), .VAR259 (VAR106) );
VAR317 VAR170 (
.clk (VAR248), .VAR342 (~VAR177), .address (VAR391), .VAR266 (VAR387), .VAR259 (VAR479) );
VAR148 VAR438 (
.clk (VAR248), .VAR342 (~VAR177), .address (VAR219), .VAR336 (~VAR103), .VAR23 (VAR262), .VAR407 (VAR212), .VAR266 (VAR415), .VAR302 (VAR111) );
VAR148 VAR289 (
.clk (VAR248), .VAR342 (~VAR177), .address (VAR94), .VAR336 (~VAR173), .VAR23 (VAR46), .VAR407 (VAR451), .VAR266 (VAR151), .VAR302 (VAR33) );
VAR148 VAR35 (
.clk (VAR248), .VAR342 (~VAR177), .address (VAR142), .VAR336 (~VAR155), .VAR23 (VAR121), .VAR407 (VAR250), .VAR266 (VAR131), .VAR302 (VAR418) );
VAR148 VAR471 (
.clk (VAR248), .VAR342 (~VAR177), .address (VAR96), .VAR336 (~VAR7), .VAR23 (VAR161), .VAR407 (VAR291), .VAR266 (VAR400), .VAR302 (VAR320) );
VAR148 VAR240 (
.clk (VAR248), .VAR342 (~VAR177), .address (VAR13), .VAR336 (~VAR221), .VAR23 (VAR485), .VAR407 (VAR241), .VAR266 (VAR119), .VAR302 (VAR184) );
VAR148 VAR410 (
.clk (VAR248), .VAR342 (~VAR177), .address (VAR116), .VAR336 (~VAR188), .VAR23 (VAR158), .VAR407 (VAR305), .VAR266 (VAR461), .VAR302 (VAR93) );
VAR3 VAR57 (
.clk (VAR248), .VAR464 (~VAR177), .VAR62 (VAR277), .VAR4 (VAR146), .VAR261 (~VAR287), .VAR105 (VAR227), .VAR383 (~VAR271), .VAR58 (VAR186), .VAR346 (VAR217), .VAR475 (VAR82) );
VAR263 VAR18 (
.clk (VAR248), .VAR342 (~VAR177), .address (VAR274), .VAR266 (VAR462), .VAR259 (VAR95) );
VAR47 VAR381 (
.clk (VAR248), .VAR342 (~VAR177), .VAR129 (VAR285), .VAR469 (VAR138), .VAR443 (VAR329), .VAR249 (VAR222), .VAR256 (VAR335), .VAR306 (VAR301), .VAR303 (VAR399), .VAR480 (VAR349), .VAR436 (VAR215), .VAR324 (VAR354), .VAR41 (VAR228), .VAR284 (VAR203), .VAR373 (VAR357), .irq (VAR32), .VAR72 (VAR459), .VAR45 (VAR279), .VAR396 (VAR292), .VAR390 (VAR353), .VAR144 (VAR352), .VAR100 (VAR426), .VAR162 (VAR118), .VAR466 (VAR213), .VAR200 (VAR245), .VAR30 () );
VAR223 VAR425 (
.clk (VAR248), .address (VAR49), .VAR416 (VAR141), .VAR407 (VAR48), .write (VAR87), .VAR266 (VAR339), .VAR23 (VAR24), .VAR265 (VAR195), .reset (VAR177), .VAR129 (VAR285) );
VAR145 VAR147 (
.clk (VAR248), .VAR342 (~VAR177), .address (VAR467), .VAR336 (~VAR474), .VAR23 (VAR327), .VAR407 (VAR258), .VAR266 (VAR293), .VAR302 (VAR312) );
VAR414 VAR362 (
.clk (VAR248), .VAR342 (~VAR177), .address (VAR137), .VAR336 (~VAR179), .VAR23 (VAR163), .VAR407 (VAR11), .VAR266 (VAR359), .VAR302 (VAR37) );
VAR414 VAR149 (
.clk (VAR248), .VAR342 (~VAR177), .address (VAR380), .VAR336 (~VAR112), .VAR23 (VAR206), .VAR407 (VAR453), .VAR266 (VAR85), .VAR302 (VAR318) );
VAR17 VAR442 (
.clk (VAR248), .VAR342 (~VAR177), .address (VAR53), .VAR266 (VAR332), .VAR259 (VAR476) );
VAR17 VAR298 (
.clk (VAR248), .VAR342 (~VAR177), .address (VAR379), .VAR266 (VAR165), .VAR259 (VAR65) );
VAR156 VAR220 (
.clk (VAR248), .VAR342 (~VAR177), .address (VAR102), .VAR336 (~VAR465), .VAR23 (VAR6), .VAR407 (VAR239), .VAR266 (VAR107), .VAR302 (VAR181) );
VAR414 VAR124 (
.clk (VAR248), .VAR342 (~VAR177), .address (VAR244), .VAR336 (~VAR171), .VAR23 (VAR9), .VAR407 (VAR393), .VAR266 (VAR153), .VAR302 (VAR316) );
VAR91 VAR16 (
.clk (VAR248), .VAR342 (~VAR177), .address (VAR290), .VAR336 (~VAR97), .VAR23 (VAR408), .VAR407 (VAR304), .VAR266 (VAR69), .VAR302 (VAR235) );
VAR156 VAR190 (
.clk (VAR248), .VAR342 (~VAR177), .address (VAR457), .VAR336 (~VAR348), .VAR23 (VAR421), .VAR407 (VAR270), .VAR266 (VAR130), .VAR302 (VAR140) );
VAR108 VAR413 (
.clk (VAR248), .VAR342 (~VAR177), .address (VAR445), .VAR336 (~VAR351), .VAR23 (VAR205), .VAR407 (VAR92), .VAR266 (VAR344), .VAR31 (VAR409) );
VAR156 VAR8 (
.clk (VAR248), .VAR342 (~VAR177), .address (VAR128), .VAR336 (~VAR455), .VAR23 (VAR470), .VAR407 (VAR333), .VAR266 (VAR242), .VAR302 (VAR278) );
VAR156 VAR14 (
.clk (VAR248), .VAR342 (~VAR177), .address (VAR433), .VAR336 (~VAR473), .VAR23 (VAR174), .VAR407 (VAR123), .VAR266 (VAR307), .VAR302 (VAR229) );
VAR456 VAR282 (
.clk (VAR248), .VAR342 (~VAR177), .address (VAR376), .VAR266 (VAR86), .VAR259 (VAR308) );
VAR481 VAR375 (
.VAR55 (VAR248), .VAR233 (VAR177), .VAR138 (VAR138), .VAR301 (VAR301), .VAR329 (VAR329), .VAR222 (VAR222), .VAR335 (VAR335), .VAR399 (VAR399), .VAR349 (VAR349), .VAR215 (VAR215), .VAR354 (VAR354), .VAR357 (VAR357), .VAR228 (VAR228), .VAR203 (VAR203), .VAR166 (VAR412), .VAR36 (VAR139), .VAR337 (VAR427), .VAR150 (VAR22), .VAR20 (VAR314), .VAR67 (VAR283), .VAR172 (VAR472), .VAR310 (VAR88), .VAR40 (VAR164), .VAR294 (VAR176), .VAR295 (VAR182), .VAR275 (VAR61), .VAR27 (VAR63), .VAR322 (VAR431), .VAR210 (VAR5), .VAR385 (VAR411), .VAR435 (VAR115), .VAR452 (VAR267), .VAR211 (VAR253), .VAR197 (VAR78), .VAR355 (VAR420), .VAR388 (VAR56), .VAR189 (VAR193), .VAR28 (VAR391), .VAR175 (VAR387), .VAR70 (VAR219), .VAR75 (VAR103), .VAR440 (VAR415), .VAR331 (VAR262), .VAR231 (VAR212), .VAR66 (VAR94), .VAR382 (VAR173), .VAR225 (VAR151), .VAR79 (VAR46), .VAR394 (VAR451), .VAR366 (VAR142), .VAR254 (VAR155), .VAR296 (VAR131), .VAR43 (VAR121), .VAR160 (VAR250), .VAR398 (VAR96), .VAR207 (VAR7), .VAR429 (VAR400), .VAR345 (VAR161), .VAR328 (VAR291), .VAR347 (VAR13), .VAR109 (VAR221), .VAR80 (VAR119), .VAR202 (VAR485), .VAR286 (VAR241), .VAR104 (VAR116), .VAR434 (VAR188), .VAR395 (VAR461), .VAR405 (VAR158), .VAR384 (VAR305), .VAR143 (VAR146), .VAR268 (VAR271), .VAR81 (VAR287), .VAR370 (VAR227), .VAR401 (VAR186), .VAR363 (VAR217), .VAR134 (VAR277), .VAR84 (VAR274), .VAR126 (VAR462), .VAR358 (VAR110), .VAR368 (VAR77), .VAR361 (VAR209), .VAR199 (VAR50), .VAR59 (VAR432), .VAR196 (VAR279), .VAR218 (VAR213), .VAR101 (VAR352), .VAR374 (VAR426), .VAR243 (VAR245), .VAR133 (VAR292), .VAR460 (VAR118), .VAR365 (VAR353), .VAR252 (VAR49), .VAR454 (VAR87), .VAR350 (VAR339), .VAR326 (VAR24), .VAR340 (VAR195), .VAR226 (VAR48), .VAR419 (VAR141), .VAR299 (VAR467), .VAR484 (VAR474), .VAR315 (VAR293), .VAR159 (VAR327), .VAR136 (VAR258), .VAR64 (VAR137), .VAR246 (VAR179), .VAR338 (VAR359), .VAR230 (VAR163), .VAR29 (VAR11), .VAR424 (VAR380), .VAR483 (VAR112), .VAR52 (VAR85), .VAR99 (VAR206), .VAR38 (VAR453), .VAR168 (VAR53), .VAR422 (VAR332), .VAR10 (VAR379), .VAR76 (VAR165), .VAR83 (VAR102), .VAR319 (VAR465), .VAR122 (VAR107), .VAR54 (VAR6), .VAR201 (VAR239), .VAR25 (VAR244), .VAR406 (VAR171), .VAR21 (VAR153), .VAR178 (VAR9), .VAR280 (VAR393), .VAR281 (VAR290), .VAR154 (VAR97), .VAR1 (VAR69), .VAR204 (VAR408), .VAR192 (VAR304), .VAR232 (VAR457), .VAR264 (VAR348), .VAR272 (VAR130), .VAR74 (VAR421), .VAR42 (VAR270), .VAR458 (VAR445), .VAR236 (VAR351), .VAR169 (VAR344), .VAR389 (VAR205), .VAR90 (VAR92), .VAR135 (VAR128), .VAR113 (VAR455), .VAR71 (VAR242), .VAR468 (VAR470), .VAR463 (VAR333), .VAR187 (VAR433), .VAR428 (VAR473), .VAR377 (VAR307), .VAR216 (VAR174), .VAR325 (VAR123), .VAR60 (VAR376), .VAR430 (VAR86) );
VAR334 VAR247 (
.clk (VAR248), .reset (VAR177), .VAR478 (VAR82), .VAR234 (VAR32) );
VAR2 #(
.VAR132 (2),
.VAR423 ("VAR356"),
.VAR180 (2),
.VAR34 (1),
.VAR224 (1),
.VAR360 (3),
.VAR288 (1),
.VAR51 (0),
.VAR208 (0),
.VAR402 (0),
.VAR309 (0),
.VAR397 (0),
.VAR15 (0),
.VAR114 (0),
.VAR167 (0),
.VAR68 (0),
.VAR449 (0),
.VAR482 (0),
.VAR403 (0),
.VAR185 (0),
.VAR371 (0),
.VAR313 (0),
.VAR39 (0),
.VAR127 (0)
) VAR369 (
.VAR251 (~VAR311), .VAR152 (VAR459), .clk (VAR248), .VAR446 (VAR177), .VAR129 (VAR285), .VAR26 (1'b0), .VAR330 (1'b0), .VAR19 (1'b0), .VAR417 (1'b0), .VAR448 (1'b0), .VAR120 (1'b0), .VAR441 (1'b0), .VAR191 (1'b0), .VAR297 (1'b0), .VAR238 (1'b0), .VAR183 (1'b0), .VAR372 (1'b0), .VAR194 (1'b0), .VAR437 (1'b0), .VAR300 (1'b0), .VAR276 (1'b0), .VAR260 (1'b0), .VAR117 (1'b0), .VAR257 (1'b0), .VAR341 (1'b0), .VAR386 (1'b0), .VAR269 (1'b0), .VAR157 (1'b0), .VAR392 (1'b0), .VAR125 (1'b0), .VAR255 (1'b0), .VAR450 (1'b0), .VAR404 (1'b0), .VAR214 (1'b0), .VAR444 (1'b0) );
endmodule
|
gpl-3.0
|
nickdesaulniers/Omicron
|
memory.v
| 2,447 |
module MODULE1(
input VAR1,
input VAR17,
input [15:0] VAR6,
input [15:0] VAR2,
input [2:0] VAR9,
input VAR4,
input [1:0] VAR14,
input VAR11,
output [15:0] VAR19,
output [15:0] VAR5,
output [2:0] VAR8,
output reg VAR10
);
parameter VAR15 = 2'b00; parameter VAR20 = 2'b01;
parameter VAR7 = 2'b10;
parameter VAR16 = 2'b11;
assign VAR19 = VAR6;
assign VAR8 = VAR9;
always@( posedge VAR1 or negedge VAR17 ) begin
if( VAR17 == 1'b0 ) begin
VAR10 <= 1'b0;
end else begin
case( VAR14 )
VAR15: begin VAR10 <= 1'b0;
end
VAR20: begin
if( VAR11 == 1'b1 ) begin VAR10 <= 1'b1;
end else begin VAR10 <= 1'b0;
end
end
VAR7: begin
if( VAR11 == 1'b1 ) begin VAR10 <= 1'b0;
end else begin VAR10 <= 1'b1;
end
end
VAR16: begin VAR10 <= 1'b1;
end
endcase
end
end
VAR22 VAR24 (
.VAR23(VAR1),
.VAR18(1'b0),
.VAR3(VAR4),
.VAR13(VAR6[6:0]),
.VAR12(VAR2),
.VAR21(VAR5[15:0])
);
endmodule
|
gpl-3.0
|
asicguy/gplgpu
|
hdl/de_temp/ded_cactrl_rd.v
| 15,032 |
module MODULE1
(
input VAR58,
input VAR26,
input VAR62,
input VAR21,
input VAR25,
input VAR69,
input VAR5,
input VAR37,
input [9:0] din,
input [8:0] VAR42,
input [4:0] VAR52,
input [6:0] VAR57,
input [1:0] VAR41,
input [1:0] VAR11,
input [1:0] VAR7,
input [1:0] VAR75,
input VAR35,
input VAR44,
input VAR16,
input [2:0] VAR64,
input VAR34,
input VAR2,
input VAR14,
input [2:0] VAR61,
input [2:0] VAR8,
input VAR47,
input VAR12,
input VAR10,
input [4:0] VAR70,
input [4:0] VAR4,
input VAR73,
input VAR59,
input [2:0] VAR51,
input [3:0] VAR20,
input [2:0] VAR38,
input [2:0] VAR65,
input [3:0] VAR76,
input [2:0] VAR33,
output reg VAR32,
output [2:0] VAR6,
output [3:0] VAR53,
output [2:0] VAR71,
output reg [9:0] VAR60
);
reg [2:0] VAR39;
reg [2:0] VAR46;
reg [3:0] VAR55;
reg [3:0] VAR54;
reg [2:0] VAR19;
reg [2:0] VAR15;
reg [9:0] VAR9;
reg VAR63;
reg VAR66;
wire VAR18,VAR13,VAR31;
localparam
VAR24 = 10'h3C0,
VAR45 = 10'h3A0,
VAR30 = 10'h0,
VAR17 = 10'h1,
VAR22 = 10'h2,
VAR74 = 10'h4,
VAR49 = 10'h8,
VAR3 = 10'h10,
VAR23 = 10'h20,
VAR68 = 10'h40,
VAR27 = 10'h80,
VAR36 = 10'hA0,
VAR43 = 10'hC0,
VAR1 = 10'h100,
VAR72 = 10'h200;
assign {VAR18,VAR13,VAR31} = VAR64;
always @(posedge VAR69)
VAR63 <= VAR14 & VAR5 & VAR2;
always @(posedge VAR69) begin
if (VAR11[1]) begin
casex (VAR75)
2'VAR28: begin
if (VAR2 && VAR14 && !VAR34) VAR9 <= VAR49;
end
else if (VAR18) VAR9 <= 10'h7 & (VAR17 * (VAR50[9:0]/10'h4));
end
else if (VAR13) VAR9 <= 10'h7 & (VAR17 * (VAR50[9:0]/10'h2));
end
else VAR9 <= 10'h7 & (VAR17 * (VAR50[9:0]));
end
2'b10: begin
if(VAR2 && VAR14 && !VAR34) VAR9 <= VAR23;
end
else if (VAR18) VAR9 <= VAR17 * (VAR50[9:0]/10'h4);
else if (VAR13) VAR9 <= VAR17 * (VAR50[9:0]/10'h2);
else VAR9 <= VAR17 * (VAR50[9:0]);
end
default: begin
if (VAR18) VAR9 <= VAR17 * (VAR50[9:0]/10'h4);
end
else if (VAR13) VAR9 <= VAR17 * (VAR50[9:0]/10'h2);
else VAR9 <= VAR17 * (VAR50[9:0]);
end
endcase
end
else if (VAR75[1] && VAR2 && VAR14) VAR9 <= VAR1;
else if (VAR75[0] && VAR2 && VAR14 && VAR18) VAR9 <= VAR72;
else if (VAR75[0] && VAR2 && VAR14) VAR9 <= VAR1;
else if (VAR75[0] && VAR2 && VAR14 && VAR18) VAR9 <= VAR72;
else if (VAR75[0] && VAR2 && VAR14) VAR9 <= VAR1;
else if (VAR75[0] && VAR2 && VAR14) VAR9 <= {1'b0, VAR18, ~VAR60[7], 1'b0, 1'b1, 5'b0};
else VAR9 <= VAR49 * VAR50[9:0];
end
always @(posedge VAR26 or negedge VAR58) begin
if (!VAR58) VAR32 <= 1'b0;
end
else if (VAR12 & ~VAR10) VAR32 <= 1'b0;
else if (VAR21 | VAR25) VAR32 <= 1'b1;
end
wire [4:0] VAR56;
wire [4:0] VAR48;
wire [4:0] VAR40;
assign VAR56 = (VAR16) ? {VAR57[6:4],2'b0} :
(VAR44) ? {VAR57[5:4],3'b0} : {VAR57[4],4'b0};
assign VAR40 = VAR52[4:0];
assign VAR48 = VAR56;
wire [6:0] VAR77 = (VAR16) ? VAR42[8:2] :
(VAR44) ? VAR42[7:1] : VAR42[6:0];
always @* begin
if (VAR41[1]) begin
casex (VAR7)
2'b00: VAR39=din[9:7];
2'VAR29: VAR39=VAR40[4:2];
2'b01: VAR39=VAR77[6:4] & {3{VAR73}};
endcase end else if (VAR7[1]) begin
casex ({VAR35, VAR44}) 2'b10: VAR39 = {2'b00,VAR57[4]};
2'b01: VAR39 = {1'b0,VAR57[5:4]};
default: VAR39 = VAR57[6:4];
endcase end else begin
if(|VAR73)VAR39=VAR77[6:4];
end
else if(!din[4] && !VAR41[1] && (~|VAR7))VAR39=3'b000;
end
else if(din[4] && !VAR41[1] && (~|VAR7))VAR39=3'b111;
end
else if(!VAR41[1] && !VAR41[0] && VAR7[0] && VAR16)VAR39={2'b00,VAR57[4]};
end
else VAR39=3'b000;
end
end
always @* begin
if(VAR41[1] && VAR7[0])VAR55={(VAR42[5] & VAR16) |
(VAR42[4] & VAR44) |
(VAR42[3] & VAR35),VAR40[2:0]};
end
else if(VAR41[1] && VAR7[1]) VAR55={VAR40[1:0],VAR48[4:3]};
else if(VAR41[1]) VAR55=din[6:3];
else if(|VAR7)VAR55 = 4'b0;
else if(|VAR73)VAR55=VAR77[3:0];
else VAR55=din[3:0];
end
always @* begin
if((|VAR41) && (|VAR7))VAR19=VAR48[2:0];
end
else VAR19=din[2:0];
end
always @(posedge VAR26 or negedge VAR58) begin
if (!VAR58) begin
VAR46 <= 3'b0;
VAR54 <= 4'b0;
VAR15 <= 3'b0;
end else if (VAR21) begin
VAR46 <= VAR39;
VAR54 <= VAR55;
VAR15 <= VAR19;
end
end
always @(posedge VAR26) VAR66 <= VAR25;
assign VAR6 = (VAR66) ? VAR39 : VAR46;
assign VAR53 = (VAR66) ? VAR55 : VAR54;
assign VAR71 = (VAR66) ? VAR19 : VAR15;
always @(posedge VAR69 or negedge VAR58)
begin
if (!VAR58) VAR60[9:0] <= 10'h0;
end
else if(VAR59 & VAR37 & VAR47 & ~VAR62)
VAR60[9:0] <= {VAR51,VAR20,VAR38};
end
else if(((VAR5 && !VAR2) || (VAR5 && !VAR14)) || VAR63)
begin
if(VAR11[1] && VAR75[0])
begin
if (VAR2 && VAR63) VAR60[2:0] <= VAR33;
end
else VAR60[2:0] <= VAR60[2:0] + VAR9[2:0];
VAR60[5:3] <= VAR60[5:3] + VAR9[5:3];
end
else if(VAR11[1] && VAR75[1])
begin
VAR60[9:5] <= VAR60[9:5] + VAR9[9:5];
if (VAR2 && VAR63) VAR60[4:0] <= {VAR76[1:0],VAR33};
end
else if(VAR11[1])
begin
end
else if(VAR75[1])
begin
if(VAR2 && VAR31 && VAR63) VAR60[9:7] <= {~VAR60[9],1'b0,VAR65[0]};
end
else if (VAR2 && VAR63) VAR60[9:7] <= VAR65;
else if (VAR31) VAR60[7] <= ~VAR60[7]; else VAR60[9:7] <= VAR60[9:7] + VAR9[9:7];
VAR60[6:3] <= VAR60[6:3] + VAR9[6:3];
if(VAR2 && VAR31 && VAR63) VAR60[9:6] <= {~VAR60[9],1'b0,VAR65[0], VAR76[3]};
else if (VAR2 && VAR63) VAR60[9:6] <= {VAR65, VAR76[3]};
else if (VAR31) VAR60[7:3] <= VAR60[7:3] + VAR9[7:3]; else if (VAR13) VAR60[8:3] <= VAR60[8:3] + VAR9[8:3]; else VAR60[9:3] <= VAR60[9:3] + VAR9[9:3]; VAR67
if(VAR2 && VAR31 && VAR63) VAR60[9:5] <= {~VAR60[9],1'b0,VAR65[0],VAR76[3:2]};
else if (VAR2 && VAR63) VAR60[9:5] <= {VAR65, VAR76[3:2]};
else if (VAR31) VAR60[7:5] <= VAR60[7:5] + VAR9[7:5];
else VAR60[9:3] <= VAR60[9:3] + VAR9[9:3];
end
else if(VAR75[0])
begin
if (VAR63) VAR60[9:7] <= {VAR60[9:8],VAR65[0]} + VAR9[9:7];
end
else if (VAR18) VAR60[7:3] <= VAR60[7:3] + VAR9[7:3];
else VAR60[6:3] <= VAR60[6:3] + VAR9[6:3];
if (VAR63 & VAR18) VAR60[9:3] <= {VAR60[9:8],VAR39[0], VAR76[3:0]} + VAR9[9:3];
else if (VAR63) VAR60[9:3] <= {VAR60[9:7],VAR76[3:0]} + VAR9[9:3];
else if (VAR18) VAR60[7:3] <= VAR60[7:3] + VAR9[7:3];
else if (VAR13) VAR60[6:3] <= VAR60[6:3] + VAR9[6:3];
else VAR60[5:3] <= VAR60[5:3] + VAR9[5:3];
if (VAR63) VAR60[9:5] <= VAR60[9:5] + VAR9[9:5];
else VAR60[7:3] <= VAR60[7:3] + VAR9[7:3];
end
else VAR60[9:5] <= VAR60[9:5] + VAR9[9:5];
end
end
endmodule
|
gpl-3.0
|
Microsoft/Sora
|
FPGA/MIMO/rtl/pcie_userapp_wrapper/Sora_Fast_Radio_Link/RCB_FRL_CRC_gen.v
| 3,733 |
module MODULE1 ( VAR2, VAR1);
input [47:0] VAR2;
output [7:0] VAR1;
assign VAR1[0] = VAR2[46] ^ VAR2[42] ^ VAR2[41] ^ VAR2[37] ^ VAR2[36] ^ VAR2[35] ^ VAR2[34] ^
VAR2[33] ^ VAR2[31] ^ VAR2[30] ^ VAR2[29] ^ VAR2[27] ^ VAR2[26] ^ VAR2[24] ^
VAR2[20] ^ VAR2[18] ^ VAR2[17] ^ VAR2[16] ^ VAR2[15] ^ VAR2[14] ^ VAR2[13] ^
VAR2[8] ^ VAR2[7] ^ VAR2[6] ^ VAR2[3] ^ VAR2[1] ^ VAR2[0];
assign VAR1[1] = VAR2[47] ^ VAR2[43] ^ VAR2[42] ^ VAR2[38] ^ VAR2[37] ^ VAR2[36] ^ VAR2[35] ^
VAR2[34] ^ VAR2[32] ^ VAR2[31] ^ VAR2[30] ^ VAR2[28] ^ VAR2[27] ^ VAR2[25] ^
VAR2[21] ^ VAR2[19] ^ VAR2[18] ^ VAR2[17] ^ VAR2[16] ^ VAR2[15] ^ VAR2[14] ^
VAR2[9] ^ VAR2[8] ^ VAR2[7] ^ VAR2[4] ^ VAR2[2] ^ VAR2[1];
assign VAR1[2] = VAR2[46] ^ VAR2[44] ^ VAR2[43] ^ VAR2[42] ^ VAR2[41] ^ VAR2[39] ^ VAR2[38] ^
VAR2[34] ^ VAR2[32] ^ VAR2[30] ^ VAR2[28] ^ VAR2[27] ^ VAR2[24] ^ VAR2[22] ^
VAR2[19] ^ VAR2[14] ^ VAR2[13] ^ VAR2[10] ^ VAR2[9] ^ VAR2[7] ^ VAR2[6] ^
VAR2[5] ^ VAR2[2] ^ VAR2[1] ^ VAR2[0];
assign VAR1[3] = VAR2[47] ^ VAR2[45] ^ VAR2[44] ^ VAR2[43] ^ VAR2[42] ^ VAR2[40] ^ VAR2[39] ^
VAR2[35] ^ VAR2[33] ^ VAR2[31] ^ VAR2[29] ^ VAR2[28] ^ VAR2[25] ^ VAR2[23] ^
VAR2[20] ^ VAR2[15] ^ VAR2[14] ^ VAR2[11] ^ VAR2[10] ^ VAR2[8] ^ VAR2[7] ^
VAR2[6] ^ VAR2[3] ^ VAR2[2] ^ VAR2[1];
assign VAR1[4] = VAR2[45] ^ VAR2[44] ^ VAR2[43] ^ VAR2[42] ^ VAR2[40] ^ VAR2[37] ^ VAR2[35] ^
VAR2[33] ^ VAR2[32] ^ VAR2[31] ^ VAR2[27] ^ VAR2[21] ^ VAR2[20] ^ VAR2[18] ^
VAR2[17] ^ VAR2[14] ^ VAR2[13] ^ VAR2[12] ^ VAR2[11] ^ VAR2[9] ^ VAR2[6] ^
VAR2[4] ^ VAR2[2] ^ VAR2[1] ^ VAR2[0];
assign VAR1[5] = VAR2[46] ^ VAR2[45] ^ VAR2[44] ^ VAR2[43] ^ VAR2[41] ^ VAR2[38] ^ VAR2[36] ^
VAR2[34] ^ VAR2[33] ^ VAR2[32] ^ VAR2[28] ^ VAR2[22] ^ VAR2[21] ^ VAR2[19] ^
VAR2[18] ^ VAR2[15] ^ VAR2[14] ^ VAR2[13] ^ VAR2[12] ^ VAR2[10] ^ VAR2[7] ^
VAR2[5] ^ VAR2[3] ^ VAR2[2] ^ VAR2[1];
assign VAR1[6] = VAR2[47] ^ VAR2[45] ^ VAR2[44] ^ VAR2[41] ^ VAR2[39] ^ VAR2[36] ^ VAR2[31] ^
VAR2[30] ^ VAR2[27] ^ VAR2[26] ^ VAR2[24] ^ VAR2[23] ^ VAR2[22] ^ VAR2[19] ^
VAR2[18] ^ VAR2[17] ^ VAR2[11] ^ VAR2[7] ^ VAR2[4] ^ VAR2[2] ^ VAR2[1] ^
VAR2[0];
assign VAR1[7] = VAR2[45] ^ VAR2[41] ^ VAR2[40] ^ VAR2[36] ^ VAR2[35] ^ VAR2[34] ^ VAR2[33] ^
VAR2[32] ^ VAR2[30] ^ VAR2[29] ^ VAR2[28] ^ VAR2[26] ^ VAR2[25] ^ VAR2[23] ^
VAR2[19] ^ VAR2[17] ^ VAR2[16] ^ VAR2[15] ^ VAR2[14] ^ VAR2[13] ^ VAR2[12] ^
VAR2[7] ^ VAR2[6] ^ VAR2[5] ^ VAR2[2] ^ VAR2[0];
endmodule
|
bsd-2-clause
|
mshaklunov/usb_devtrsac
|
rtl/usb_decoder.v
| 15,880 |
module MODULE1 (
input clk,
input VAR63,
input VAR16,
input VAR30,
input VAR58,
input VAR27,
input VAR82,
output reg VAR17,
output reg VAR47,
output reg VAR69,
input[6:0] VAR36,
input[15:0] VAR84,
output[3:0] VAR3,
output VAR77,
output VAR39,
output VAR75,
output VAR66,
output VAR8,
output VAR83,
output VAR87,
output VAR46,
output reg VAR45,
output reg[10:0] VAR78,
input VAR57,
output VAR18,
output reg VAR24,
output reg VAR4,
input VAR71
);
wire VAR19;
wire VAR76;
wire VAR38;
reg[1:0] VAR13;
reg VAR1;
reg VAR9;
reg VAR35;
wire VAR86;
reg[2:0] VAR61;
localparam VAR7=3'd0,
VAR22=3'd1,
VAR68=3'd2,
VAR62=3'd3,
VAR81=3'd4,
VAR50=3'd5;
reg[2:0] VAR31;
reg VAR25;
reg VAR85;
wire VAR70;
reg[15:0] VAR10;
reg[15:0] VAR26;
reg[4:0] VAR52;
reg[7:0] VAR11;
reg[15:0] VAR80;
reg[2:0] VAR56;
localparam VAR79=3'd0,
VAR88=3'd1,
VAR64=3'd2,
VAR21=3'd3,
VAR72=3'd4,
VAR49=3'd5,
VAR53=3'd6,
VAR41=3'd7;
localparam VAR2=4'b1001,
VAR51=4'b0001,
VAR59=4'b0101,
VAR15=4'b1101,
VAR33=4'b0011,
VAR20=4'b1011,
VAR40=4'b0010,
VAR73=4'b1010,
VAR14=4'b1110;
wire VAR44;
wire VAR43;
wire VAR32;
reg[17:0] VAR42;
reg[2:0] VAR23;
localparam VAR5=3'd0,
VAR74=3'd1,
VAR55=3'd2,
VAR60=3'd3,
VAR28=3'd4;
VAR65 #(.VAR12(2'd3),.VAR67(3'd0))
VAR54 (
.VAR48 (VAR63),
.VAR29 (VAR16),
.VAR6 (clk),
.VAR37 ({VAR30,
VAR58,
VAR27}),
.VAR34 ({VAR19,
VAR76,
VAR38})
);
assign VAR86= VAR38==VAR35 ? 1'b1 : 1'b0;
always @(posedge clk, negedge VAR63)
begin
if(!VAR63)
begin
VAR1<=1'b0;
VAR13<=2'd0;
VAR9<=1'b0;
VAR35<=1'b1;
VAR61<=VAR7;
VAR31<=3'd0;
VAR25<=1'b0;
VAR24<=1'b0;
VAR85<=1'b0;
end
else if(!VAR16)
begin
VAR1<=1'b0;
VAR13<=2'd0;
VAR9<=1'b0;
VAR35<=1'b1;
VAR61<=VAR7;
VAR31<=3'd0;
VAR25<=1'b0;
VAR24<=1'b0;
VAR85<=1'b0;
end
else
begin
VAR1<= VAR38;
VAR13<=VAR13==2'b11 ? VAR13 : VAR13+1'b1;
case(VAR61)
VAR7:
begin
VAR35<= VAR71 ? 1'b1 : 1'b0;
VAR9<= VAR38!=VAR1 & VAR13==2'd3 ? 1'b1 : 1'b0;
VAR61<= VAR38!=VAR1 & VAR13==2'd3 ? VAR68 :
VAR61;
end
VAR22: begin
VAR9<= 1'b1;
VAR61<= VAR68;
end
VAR68: begin
VAR9<= 1'b0;
VAR35<= VAR38;
VAR61<= VAR32 ? VAR50 :
VAR38!=VAR1 ? VAR68 :
VAR62;
end
VAR62:
begin
VAR61<= VAR81;
end
VAR81: begin
VAR9<= VAR38!=VAR1 ? 1'b1 : 1'b0;
VAR61<= VAR38!=VAR1 ? VAR68 : VAR22;
end
VAR50:
begin
VAR61<=!VAR32 & VAR38==VAR71 & VAR1==VAR71 ? VAR7:
VAR61;
end
default:
begin
VAR9<=1'b0;
VAR35<=1'b1;
VAR61<=VAR7;
end
endcase
VAR31<=(VAR9 & (VAR31==3'd6 | !VAR86))|
VAR61==VAR7 ? 3'd0 :
VAR9 & VAR86 ? VAR31+1'b1 :
VAR31;
VAR25<= VAR9 & !VAR32 &
VAR31!=3'd6 ? 1'b1 : 1'b0;
VAR24<= VAR9 ? VAR86 : VAR24;
VAR85<= VAR9 ? VAR32 : VAR85;
end
end
assign VAR3= VAR80[10:7];
assign VAR70= VAR56==VAR41 &
VAR11[3:0]==VAR59;
assign VAR77= VAR56==VAR41 &
VAR11[3:0]==VAR2 &
VAR84[ VAR80[10:7] ]==1'b1 &
VAR80[6:0]==VAR36;
assign VAR39= VAR56==VAR41 &
VAR11[3:0]==VAR51 &
VAR84[ VAR80[10:7] ]==1'b1 &
VAR80[6:0]==VAR36;
assign VAR75= VAR56==VAR41 &
VAR11[3:0]==VAR15 &
VAR84[ VAR80[10:7] ]==1'b1 &
VAR80[6:0]==VAR36;
assign VAR66= VAR56==VAR21 &
VAR11[3:0]==VAR33 &
VAR84[ VAR80[10:7] ]==1'b1 &
VAR80[6:0]==VAR36;
assign VAR8= VAR56==VAR21 &
VAR11[3:0]==VAR20 &
VAR84[ VAR80[10:7] ]==1'b1 &
VAR80[6:0]==VAR36;
assign VAR83= VAR56==VAR41 &
VAR11[3:0]==VAR40 &
VAR84[ VAR80[10:7] ]==1'b1 &
VAR80[6:0]==VAR36;
assign VAR87= VAR56==VAR41 &
VAR84[ VAR80[10:7] ]==1'b1 &
VAR80[6:0]==VAR36;
assign VAR46= VAR56==VAR53 &
VAR84[ VAR80[10:7] ]==1'b1 &
VAR80[6:0]==VAR36;
assign VAR18= VAR25 &
VAR56==VAR21;
always @(posedge clk, negedge VAR63)
begin
if(!VAR63)
begin
VAR4<=1'b1;
VAR10<=16'd0;
VAR26<=16'd0;
VAR52<=5'd0;
VAR11<=8'd0;
VAR80<=11'd0;
VAR56<=VAR79;
end
else if(!VAR16)
begin
VAR4<= 1'b1;
VAR10<=16'd0;
VAR26<=16'd0;
VAR52<=5'd0;
VAR11<=8'd0;
VAR80<=11'd0;
VAR56<=VAR79;
end
else
begin
case(VAR56)
VAR79:
begin
VAR10<= 16'hFFFF;
VAR52<= VAR52>=5'd6 & VAR52<=5'd8 &
VAR11[7:5]==3'b100 ? 5'd0 :
VAR25 ? VAR52+1'b1 :
VAR52;
VAR11<= VAR25 ? {VAR24,VAR11[7:1]} : VAR11;
VAR56<= VAR52>=5'd6 & VAR52<=5'd8 &
VAR11[7:5]==3'b100 ? VAR88 :
VAR52==5'd8 ? VAR49 :
VAR56;
end
VAR88:
begin
VAR4<= VAR52==5'd8 &
(VAR11[7:0]=={~VAR33,VAR33} |
VAR11[7:0]=={~VAR20,VAR20}) ? 1'b0 :
1'b1;
VAR52<= VAR52==5'd8 ? 5'd0 :
VAR25 ? VAR52+1'b1 :
VAR52;
VAR11<= VAR25 ? {VAR24,VAR11[7:1]} : VAR11;
VAR56<= VAR52==5'd8 &
(VAR11[7:0]=={~VAR59,VAR59} |
VAR11[7:0]=={~VAR15,VAR15} |
VAR11[7:0]=={~VAR2,VAR2} |
VAR11[7:0]=={~VAR51,VAR51} ) ? VAR64 :
VAR52==5'd8 &
(VAR11[7:0]=={~VAR33,VAR33} |
VAR11[7:0]=={~VAR20,VAR20}) ? VAR21 :
VAR52==5'd8 &
VAR11[7:0]=={~VAR40,VAR40} ? VAR72 :
VAR52==5'd8 ? VAR49 :
VAR85 ? VAR53 :
VAR56;
end
VAR64:
begin
VAR52<= VAR25 ? VAR52+1'b1 :
VAR52;
VAR80<= VAR25 & VAR52[4]!=1'b1 ?
{VAR24,VAR80[15:1]} :
VAR80;
VAR26<= VAR25 ? VAR10 : VAR26;
VAR10[4:0]<= VAR25 &
(VAR10[4]^VAR24) ? {VAR10[3:0],1'b0}^5'b00101 :
VAR25 ? {VAR10[3:0],1'b0} :
VAR10;
VAR56<= VAR52==5'd18 ? VAR49 :
(VAR85 & VAR10[4:0]==5'b01100 &
VAR52[2:0]==3'd0) |
(VAR85 & VAR26[4:0]==5'b01100 &
VAR52[2:0]==3'd1) ? VAR41 :
VAR85 ? VAR53 :
VAR56;
end
VAR21:
begin
VAR4<= 1'b1;
VAR52<= VAR25 ? VAR52+1'b1 : VAR52;
VAR26<= VAR25 ? VAR10 : VAR26;
VAR10<= VAR25 &
(VAR10[15]^VAR24) ? {VAR10[14:0],1'b0}^16'h8005 :
VAR25 ? {VAR10[14:0],1'b0} :
VAR10;
VAR56<= VAR4 & VAR57 ? VAR49 :
(VAR85 & VAR10==16'h800D &
VAR52[2:0]==3'd0) |
(VAR85 & VAR26==16'h800D &
VAR52[2:0]==3'd1) ? VAR41 :
VAR85 ? VAR53 :
VAR56;
end
VAR72:
begin
VAR52<= VAR25 ? VAR52+1'b1 : VAR52;
VAR56<= VAR85 ? VAR41 :
VAR25 & VAR52==5'd1 ? VAR49 :
VAR56;
end
VAR49:
begin
VAR56<= VAR85 ? VAR53 :
VAR56;
end
VAR53:
begin
VAR52<=5'd0;
VAR56<= VAR79;
end
VAR41:
begin
VAR52<=5'd0;
VAR56<= VAR79;
end
default:
begin
VAR4<= 1'b1;
VAR10<=16'd0;
VAR26<=16'd0;
VAR52<=5'd0;
VAR11<=8'd0;
VAR80<=11'd0;
VAR56<=VAR79;
end
endcase
end
end
always @(posedge clk, negedge VAR63)
begin
if(!VAR63)
begin
VAR45<=1'b0;
VAR78<=11'd0;
end
else if(!VAR16)
begin
VAR45<=1'b0;
VAR78<=11'd0;
end
else
begin
VAR45<= VAR70;
VAR78<= VAR70 ? VAR80 : VAR78;
end
end
assign VAR32= !VAR19 & !VAR76 ? 1'b1 : 1'b0;
assign VAR44= (VAR71 & VAR19 & !VAR76) |
(!VAR71 & !VAR19 & VAR76) ? 1'b1 : 1'b0;
assign VAR43= (VAR71 & !VAR19 & VAR76) |
(!VAR71 & VAR19 & !VAR76) ? 1'b1 : 1'b0;
always @(posedge clk, negedge VAR63)
begin
if(!VAR63)
begin
VAR17<=0;
VAR47<=0;
VAR42<=0;
VAR23<=VAR5;
end
else if(!VAR16)
begin
VAR17<=0;
VAR47<=0;
VAR42<=0;
VAR23<=VAR5;
end
else
begin
case(VAR23)
VAR5:
begin
VAR17<=1'b0;
VAR47<=1'b0;
VAR69<=1'b0;
VAR42<=18'd0;
VAR23<= VAR32 ? VAR74 :
VAR44 ? VAR60 :
VAR23;
end
VAR74:
begin
VAR42<= VAR42+1'b1;
VAR23<= (VAR71 & VAR42[7:0]==8'd240) |
(!VAR71 & VAR42[4:0]==5'd30) ? VAR55 :
VAR32 ? VAR23 :
VAR5;
end
VAR55:
begin
VAR17<= VAR32 ? 1'b0 : 1'b1;
VAR23<= VAR32 ? VAR23 : VAR5;
end
VAR60:
begin
VAR69 <= VAR42[2:0]==3'd5 ? 1'b1 : VAR69;
VAR42<= VAR42+1'b1;
VAR23<= (VAR71 & VAR42==18'd262143) |
(!VAR71 & VAR42==18'd33330) ? VAR28 :
VAR44 & !VAR82 ? VAR23 :
VAR5;
end
VAR28:
begin
VAR47<= VAR44 ? 1'b1 : 1'b0;
VAR23<= VAR44 ? VAR23 : VAR5;
end
default:
begin
VAR17<=0;
VAR47<=0;
VAR42<=0;
VAR23<=VAR5;
end
endcase
end
end
endmodule
|
mit
|
EliasVansteenkiste/ConnectionRouter
|
vtr_flow/benchmarks/arithmetic/generated_circuits/multless_consts/verilog/mult_044.v
| 1,482 |
module MODULE1 (
VAR1,
VAR12
);
input [31:0] VAR1;
output [31:0]
VAR12;
wire [31:0]
VAR8,
VAR2,
VAR10,
VAR9,
VAR4,
VAR11,
VAR13,
VAR6;
assign VAR8 = VAR1;
assign VAR6 = VAR13 << 1;
assign VAR4 = VAR10 - VAR9;
assign VAR2 = VAR8 << 9;
assign VAR10 = VAR8 + VAR2;
assign VAR9 = VAR8 << 6;
assign VAR11 = VAR4 << 4;
assign VAR13 = VAR4 + VAR11;
assign VAR12 = VAR6;
endmodule
module MODULE2(
VAR1,
VAR12,
clk
);
input [31:0] VAR1;
output [31:0] VAR12;
reg [31:0] VAR12;
input clk;
reg [31:0] VAR5;
wire [30:0] VAR7;
always @(posedge clk) begin
VAR5 <= VAR1;
VAR12 <= VAR7;
end
MODULE1 MODULE1(
.VAR1(VAR5),
.VAR12(VAR7)
);
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/and4bb/sky130_fd_sc_lp__and4bb_4.v
| 2,323 |
module MODULE2 (
VAR10 ,
VAR9 ,
VAR7 ,
VAR5 ,
VAR2 ,
VAR4,
VAR8,
VAR11 ,
VAR6
);
output VAR10 ;
input VAR9 ;
input VAR7 ;
input VAR5 ;
input VAR2 ;
input VAR4;
input VAR8;
input VAR11 ;
input VAR6 ;
VAR3 VAR1 (
.VAR10(VAR10),
.VAR9(VAR9),
.VAR7(VAR7),
.VAR5(VAR5),
.VAR2(VAR2),
.VAR4(VAR4),
.VAR8(VAR8),
.VAR11(VAR11),
.VAR6(VAR6)
);
endmodule
module MODULE2 (
VAR10 ,
VAR9,
VAR7,
VAR5 ,
VAR2
);
output VAR10 ;
input VAR9;
input VAR7;
input VAR5 ;
input VAR2 ;
supply1 VAR4;
supply0 VAR8;
supply1 VAR11 ;
supply0 VAR6 ;
VAR3 VAR1 (
.VAR10(VAR10),
.VAR9(VAR9),
.VAR7(VAR7),
.VAR5(VAR5),
.VAR2(VAR2)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/clkbuflp/sky130_fd_sc_lp__clkbuflp.functional.pp.v
| 1,793 |
module MODULE1 (
VAR3 ,
VAR11 ,
VAR12,
VAR8,
VAR5 ,
VAR2
);
output VAR3 ;
input VAR11 ;
input VAR12;
input VAR8;
input VAR5 ;
input VAR2 ;
wire VAR7 ;
wire VAR9;
buf VAR6 (VAR7 , VAR11 );
VAR1 VAR10 (VAR9, VAR7, VAR12, VAR8);
buf VAR4 (VAR3 , VAR9 );
endmodule
|
apache-2.0
|
Nrpickle/ECE272
|
Lab6_FinalDesign/section6_FinalDesign/interpretADC_prim.v
| 18,755 |
module MODULE1 (VAR121, VAR49) ; input [7:0]VAR121; output [8:0]VAR49;
wire [7:0]VAR86; wire [8:0]VAR26;
wire VAR16, VAR118, VAR36;
wire [15:0]VAR4;
wire VAR1, VAR52, VAR44, VAR48, VAR134, VAR141, VAR100;
wire [11:0]VAR155;
wire VAR58;
wire [11:0]VAR56;
wire VAR62, VAR43, VAR152, VAR45, VAR149, VAR127, VAR22, VAR76, VAR97,
VAR32, VAR135, VAR59, VAR25, VAR124, VAR46;
wire [7:0]VAR137;
wire VAR38, VAR115, VAR66;
wire [7:0]VAR104;
wire [7:0]VAR42;
wire [7:0]VAR125;
wire VAR89, VAR7;
wire [7:0]VAR101;
wire VAR150, VAR31;
wire [7:0]VAR51;
wire [7:0]VAR96;
wire VAR108;
wire [7:0]VAR119;
wire VAR13, VAR29;
VAR40 VAR70 (.VAR10(VAR118));
VAR64 VAR110 (.VAR28(VAR101[3]), .VAR129(VAR101[7]), .VAR156(VAR16), .VAR85(VAR16),
.VAR37(VAR101[4]), .VAR157(VAR101[7]), .VAR106(VAR16), .VAR68(VAR16), .VAR114(VAR152),
.VAR75(VAR46), .VAR39(VAR51[4]), .VAR111(VAR51[5]));
VAR63 VAR33 (.VAR67(VAR86[4]), .VAR154(VAR86[0]), .VAR10(VAR56[4])) ;
VAR140 VAR30 (.VAR90(VAR26[5]), .VAR147(VAR49[5]));
VAR64 VAR88 (.VAR28(VAR51[5]), .VAR129(VAR51[7]), .VAR156(VAR16), .VAR85(VAR16),
.VAR37(VAR51[6]), .VAR157(VAR51[7]), .VAR106(VAR16), .VAR68(VAR16), .VAR114(VAR100),
.VAR39(VAR96[6]), .VAR111(VAR96[7]));
VAR64 VAR19 (.VAR28(VAR51[3]), .VAR129(VAR51[7]), .VAR156(VAR16), .VAR85(VAR16),
.VAR37(VAR51[4]), .VAR157(VAR51[7]), .VAR106(VAR16), .VAR68(VAR16), .VAR114(VAR62),
.VAR75(VAR100), .VAR39(VAR96[4]), .VAR111(VAR96[5]));
VAR64 VAR27 (.VAR28(VAR16), .VAR129(VAR16), .VAR156(VAR16), .VAR85(VAR16),
.VAR37(VAR86[1]), .VAR157(VAR101[7]), .VAR106(VAR16), .VAR68(VAR16),
.VAR75(VAR59), .VAR111(VAR51[1]));
VAR64 VAR113 (.VAR28(VAR125[5]), .VAR129(VAR125[7]), .VAR156(VAR16), .VAR85(VAR16),
.VAR37(VAR125[6]), .VAR157(VAR125[7]), .VAR106(VAR16), .VAR68(VAR16), .VAR114(VAR25),
.VAR39(VAR101[6]), .VAR111(VAR101[7]));
VAR64 VAR133 (.VAR28(VAR125[3]), .VAR129(VAR125[7]), .VAR156(VAR16), .VAR85(VAR16),
.VAR37(VAR125[4]), .VAR157(VAR125[7]), .VAR106(VAR16), .VAR68(VAR16), .VAR114(VAR22),
.VAR75(VAR25), .VAR39(VAR101[4]), .VAR111(VAR101[5]));
VAR64 VAR95 (.VAR28(VAR96[6]), .VAR129(VAR96[7]), .VAR156(VAR16), .VAR85(VAR16),
.VAR37(VAR16), .VAR157(VAR16), .VAR106(VAR16), .VAR68(VAR16), .VAR114(VAR32),
.VAR39(VAR119[7]));
VAR64 VAR61 (.VAR28(VAR125[1]), .VAR129(VAR125[7]), .VAR156(VAR16), .VAR85(VAR16),
.VAR37(VAR125[2]), .VAR157(VAR125[7]), .VAR106(VAR16), .VAR68(VAR16), .VAR114(VAR150),
.VAR75(VAR22), .VAR39(VAR101[2]), .VAR111(VAR101[3]));
VAR64 VAR23 (.VAR28(VAR4[13]), .VAR129(VAR13), .VAR156(VAR7), .VAR85(VAR16),
.VAR37(VAR4[12]), .VAR157(VAR7), .VAR106(VAR4[13]), .VAR68(VAR13), .VAR114(VAR29),
.VAR75(VAR97), .VAR39(VAR137[4]), .VAR111(VAR137[5]));
VAR64 VAR98 (.VAR28(VAR16), .VAR129(VAR16), .VAR156(VAR16), .VAR85(VAR16),
.VAR37(VAR86[2]), .VAR157(VAR125[7]), .VAR106(VAR16), .VAR68(VAR16),
.VAR75(VAR150), .VAR111(VAR101[1]));
VAR63 VAR65 (.VAR67(VAR51[7]), .VAR10(VAR26[4])) ;
VAR64 VAR99 (.VAR28(VAR42[5]), .VAR129(VAR42[7]), .VAR156(VAR16), .VAR85(VAR16),
.VAR37(VAR42[6]), .VAR157(VAR42[7]), .VAR106(VAR16), .VAR68(VAR16), .VAR114(VAR36),
.VAR39(VAR125[6]), .VAR111(VAR125[7]));
VAR116 VAR35 (.VAR116(VAR118));
VAR63 VAR11 (.VAR67(VAR4[12]), .VAR154(VAR7), .VAR10(VAR13)) ;
VAR50 VAR8 (.VAR10(VAR16));
VAR63 VAR117 (.VAR67(VAR7), .VAR154(VAR4[12]), .VAR130(VAR4[13]), .VAR10(VAR38)) ;
VAR63 VAR55 (.VAR67(VAR4[13]), .VAR154(VAR7), .VAR10(VAR45)) ;
VAR63 VAR71 (.VAR67(VAR104[7]), .VAR10(VAR26[8])) ;
VAR63 VAR6 (.VAR67(VAR101[7]), .VAR10(VAR26[5])) ;
VAR63 VAR72 (.VAR67(VAR119[7]), .VAR10(VAR26[2])) ;
VAR63 VAR17 (.VAR67(VAR42[7]), .VAR10(VAR26[7])) ;
VAR64 VAR92 (.VAR28(VAR42[3]), .VAR129(VAR42[7]), .VAR156(VAR16), .VAR85(VAR16),
.VAR37(VAR42[4]), .VAR157(VAR42[7]), .VAR106(VAR16), .VAR68(VAR16), .VAR114(VAR1),
.VAR75(VAR36), .VAR39(VAR125[4]), .VAR111(VAR125[5]));
VAR64 VAR20 (.VAR28(VAR42[1]), .VAR129(VAR42[7]), .VAR156(VAR16), .VAR85(VAR16),
.VAR37(VAR42[2]), .VAR157(VAR42[7]), .VAR106(VAR16), .VAR68(VAR16), .VAR114(VAR52),
.VAR75(VAR1), .VAR39(VAR125[2]), .VAR111(VAR125[3]));
VAR64 VAR91 (.VAR28(VAR51[1]), .VAR129(VAR51[7]), .VAR156(VAR16), .VAR85(VAR16),
.VAR37(VAR51[2]), .VAR157(VAR51[7]), .VAR106(VAR16), .VAR68(VAR16), .VAR114(VAR31),
.VAR75(VAR62), .VAR39(VAR96[2]), .VAR111(VAR96[3]));
VAR64 VAR151 (.VAR28(VAR155[8]), .VAR129(VAR86[4]), .VAR156(VAR16), .VAR85(VAR16),
.VAR37(VAR155[9]), .VAR157(VAR86[5]), .VAR106(VAR16), .VAR68(VAR16),
.VAR114(VAR115), .VAR75(VAR66), .VAR39(VAR56[8]), .VAR111(VAR7));
VAR64 VAR53 (.VAR28(VAR155[6]), .VAR129(VAR86[2]), .VAR156(VAR16), .VAR85(VAR16),
.VAR37(VAR155[7]), .VAR157(VAR86[3]), .VAR106(VAR16), .VAR68(VAR16),
.VAR114(VAR127), .VAR75(VAR115), .VAR39(VAR56[6]), .VAR111(VAR56[7]));
VAR64 VAR148 (.VAR28(VAR16), .VAR129(VAR16), .VAR156(VAR16), .VAR85(VAR16),
.VAR37(VAR86[3]), .VAR157(VAR42[7]), .VAR106(VAR16), .VAR68(VAR16),
.VAR75(VAR52), .VAR111(VAR125[1]));
VAR64 VAR21 (.VAR28(VAR104[5]), .VAR129(VAR104[7]), .VAR156(VAR16), .VAR85(VAR16),
.VAR37(VAR104[6]), .VAR157(VAR104[7]), .VAR106(VAR16), .VAR68(VAR16), .VAR114(VAR44),
.VAR39(VAR42[6]), .VAR111(VAR42[7]));
VAR64 VAR145 (.VAR28(VAR104[3]), .VAR129(VAR104[7]), .VAR156(VAR16), .VAR85(VAR16),
.VAR37(VAR104[4]), .VAR157(VAR104[7]), .VAR106(VAR16), .VAR68(VAR16), .VAR114(VAR48),
.VAR75(VAR44), .VAR39(VAR42[4]), .VAR111(VAR42[5]));
VAR64 VAR77 (.VAR28(VAR104[1]), .VAR129(VAR104[7]), .VAR156(VAR16), .VAR85(VAR16),
.VAR37(VAR104[2]), .VAR157(VAR104[7]), .VAR106(VAR16), .VAR68(VAR16), .VAR114(VAR134),
.VAR75(VAR48), .VAR39(VAR42[2]), .VAR111(VAR42[3]));
VAR64 VAR14 (.VAR28(VAR101[1]), .VAR129(VAR101[7]), .VAR156(VAR16), .VAR85(VAR16),
.VAR37(VAR101[2]), .VAR157(VAR101[7]), .VAR106(VAR16), .VAR68(VAR16), .VAR114(VAR59),
.VAR75(VAR152), .VAR39(VAR51[2]), .VAR111(VAR51[3]));
VAR128 VAR136 (.VAR90(VAR121[0]), .VAR147(VAR86[0]));
VAR128 VAR54 (.VAR90(VAR121[1]), .VAR147(VAR86[1]));
VAR128 VAR74 (.VAR90(VAR121[2]), .VAR147(VAR86[2]));
VAR128 VAR78 (.VAR90(VAR121[3]), .VAR147(VAR86[3]));
VAR128 VAR131 (.VAR90(VAR121[4]), .VAR147(VAR86[4]));
VAR64 VAR24 (.VAR28(VAR16), .VAR129(VAR16), .VAR156(VAR16), .VAR85(VAR16),
.VAR37(VAR56[4]), .VAR157(VAR104[7]), .VAR106(VAR16), .VAR68(VAR16), .VAR75(VAR134),
.VAR111(VAR42[1]));
VAR128 VAR153 (.VAR90(VAR121[5]), .VAR147(VAR86[5]));
VAR64 VAR120 (.VAR28(VAR137[5]), .VAR129(VAR137[7]), .VAR156(VAR16), .VAR85(VAR16),
.VAR37(VAR137[6]), .VAR157(VAR137[7]), .VAR106(VAR16), .VAR68(VAR16), .VAR114(VAR141),
.VAR39(VAR104[6]), .VAR111(VAR104[7]));
VAR140 VAR84 (.VAR90(VAR26[3]), .VAR147(VAR49[3]));
VAR64 VAR12 (.VAR28(VAR96[2]), .VAR129(VAR96[7]), .VAR156(VAR16), .VAR85(VAR16),
.VAR37(VAR96[3]), .VAR157(VAR96[7]), .VAR106(VAR16), .VAR68(VAR16), .VAR114(VAR108),
.VAR75(VAR135));
VAR140 VAR158 (.VAR90(VAR26[6]), .VAR147(VAR49[6]));
VAR64 VAR57 (.VAR28(VAR137[3]), .VAR129(VAR137[7]), .VAR156(VAR16), .VAR85(VAR16),
.VAR37(VAR137[4]), .VAR157(VAR137[7]), .VAR106(VAR16), .VAR68(VAR16), .VAR114(VAR58),
.VAR75(VAR141), .VAR39(VAR104[4]), .VAR111(VAR104[5]));
VAR140 VAR82 (.VAR90(VAR26[7]), .VAR147(VAR49[7]));
VAR64 VAR139 (.VAR28(VAR137[1]), .VAR129(VAR137[7]), .VAR156(VAR16), .VAR85(VAR16),
.VAR37(VAR137[2]), .VAR157(VAR137[7]), .VAR106(VAR16), .VAR68(VAR16), .VAR114(VAR43),
.VAR75(VAR58), .VAR39(VAR104[2]), .VAR111(VAR104[3]));
VAR64 VAR112 (.VAR28(VAR86[0]), .VAR129(VAR86[5]), .VAR156(VAR16),
.VAR85(VAR16), .VAR37(VAR86[1]), .VAR157(VAR86[6]), .VAR106(VAR16),
.VAR68(VAR16), .VAR75(VAR149), .VAR111(VAR155[6]));
VAR64 VAR41 (.VAR28(VAR101[5]), .VAR129(VAR101[7]), .VAR156(VAR16), .VAR85(VAR16),
.VAR37(VAR101[6]), .VAR157(VAR101[7]), .VAR106(VAR16), .VAR68(VAR16), .VAR114(VAR46),
.VAR39(VAR51[6]), .VAR111(VAR51[7]));
VAR64 VAR47 (.VAR28(VAR4[13]), .VAR129(VAR13), .VAR156(VAR56[7]), .VAR85(VAR16),
.VAR37(VAR4[13]), .VAR157(VAR13), .VAR106(VAR56[8]), .VAR68(VAR16), .VAR114(VAR76),
.VAR75(VAR29), .VAR39(VAR137[2]), .VAR111(VAR137[3]));
VAR140 VAR109 (.VAR90(VAR26[2]), .VAR147(VAR49[2]));
VAR64 VAR122 (.VAR28(VAR86[2]), .VAR129(VAR86[7]), .VAR156(VAR16),
.VAR85(VAR16), .VAR37(VAR86[3]), .VAR157(VAR16), .VAR106(VAR16),
.VAR68(VAR16), .VAR114(VAR149), .VAR75(VAR89), .VAR39(VAR155[7]), .VAR111(VAR155[8]));
VAR64 VAR69 (.VAR28(VAR16), .VAR129(VAR16), .VAR156(VAR16), .VAR85(VAR16),
.VAR37(VAR86[0]), .VAR157(VAR51[7]), .VAR106(VAR16), .VAR68(VAR16),
.VAR75(VAR31), .VAR111(VAR96[1]));
VAR34 VAR146 (.VAR90(VAR16), .VAR5(VAR118), .VAR147(VAR49[0]));
VAR64 VAR107 (.VAR28(VAR16), .VAR129(VAR16), .VAR156(VAR16), .VAR85(VAR16),
.VAR37(VAR56[5]), .VAR157(VAR137[7]), .VAR106(VAR16), .VAR68(VAR16), .VAR75(VAR43),
.VAR111(VAR104[1]));
VAR34 VAR87 (.VAR90(VAR16), .VAR5(VAR118), .VAR147(VAR49[1]));
VAR64 VAR105 (.VAR28(VAR96[4]), .VAR129(VAR96[7]), .VAR156(VAR16), .VAR85(VAR16),
.VAR37(VAR96[5]), .VAR157(VAR96[7]), .VAR106(VAR16), .VAR68(VAR16), .VAR114(VAR135),
.VAR75(VAR32));
VAR140 VAR103 (.VAR90(VAR26[8]), .VAR147(VAR49[8]));
VAR128 VAR81 (.VAR90(VAR121[6]), .VAR147(VAR86[6]));
VAR64 VAR83 (.VAR28(VAR4[13]), .VAR129(VAR13), .VAR156(VAR4[12]), .VAR85(VAR45),
.VAR37(VAR4[13]), .VAR157(VAR13), .VAR106(VAR38), .VAR68(VAR16), .VAR114(VAR97),
.VAR39(VAR137[6]), .VAR111(VAR137[7]));
VAR63 VAR126 (.VAR67(VAR125[7]), .VAR10(VAR26[6])) ;
VAR142 VAR132 (.VAR142(VAR118));
VAR63 VAR80 (.VAR67(VAR96[7]), .VAR10(VAR26[3])) ;
VAR64 VAR138 (.VAR28(VAR16), .VAR129(VAR16), .VAR156(VAR16), .VAR85(VAR16),
.VAR37(VAR96[1]), .VAR157(VAR96[7]), .VAR106(VAR16), .VAR68(VAR16), .VAR75(VAR108));
VAR128 VAR3 (.VAR90(VAR121[7]), .VAR147(VAR86[7]));
VAR64 VAR123 (.VAR28(VAR16), .VAR129(VAR16), .VAR156(VAR16), .VAR85(VAR16),
.VAR37(VAR4[13]), .VAR157(VAR13), .VAR106(VAR56[6]), .VAR68(VAR16), .VAR75(VAR76),
.VAR111(VAR137[1]));
VAR140 VAR60 (.VAR90(VAR26[4]), .VAR147(VAR49[4]));
VAR64 VAR144 (.VAR28(VAR155[10]), .VAR129(VAR86[6]), .VAR156(VAR16), .VAR85(VAR16),
.VAR37(VAR155[11]), .VAR157(VAR86[7]), .VAR106(VAR16), .VAR68(VAR16),
.VAR114(VAR66), .VAR39(VAR4[12]), .VAR111(VAR4[13]));
VAR64 VAR15 (.VAR28(VAR86[4]), .VAR129(VAR86[0]), .VAR156(VAR16),
.VAR85(VAR16), .VAR37(VAR86[0]), .VAR157(VAR86[5]), .VAR106(VAR86[1]),
.VAR68(VAR16), .VAR75(VAR127), .VAR111(VAR56[5]));
VAR64 VAR79 (.VAR28(VAR86[6]), .VAR129(VAR16), .VAR156(VAR16), .VAR85(VAR16),
.VAR37(VAR16), .VAR157(VAR16), .VAR106(VAR16), .VAR68(VAR16), .VAR114(VAR124),
.VAR39(VAR155[11]));
VAR64 VAR2 (.VAR28(VAR86[4]), .VAR129(VAR16), .VAR156(VAR16), .VAR85(VAR16),
.VAR37(VAR86[5]), .VAR157(VAR16), .VAR106(VAR16), .VAR68(VAR16),
.VAR114(VAR89), .VAR75(VAR124), .VAR39(VAR155[9]), .VAR111(VAR155[10]));
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/o221a/sky130_fd_sc_hdll__o221a.functional.v
| 1,574 |
module MODULE1 (
VAR4 ,
VAR5,
VAR1,
VAR12,
VAR8,
VAR10
);
output VAR4 ;
input VAR5;
input VAR1;
input VAR12;
input VAR8;
input VAR10;
wire VAR3 ;
wire VAR9 ;
wire VAR2;
or VAR6 (VAR3 , VAR8, VAR12 );
or VAR13 (VAR9 , VAR1, VAR5 );
and VAR7 (VAR2, VAR3, VAR9, VAR10);
buf VAR11 (VAR4 , VAR2 );
endmodule
|
apache-2.0
|
pavel-demin/red-pitaya-notes
|
projects/red_pitaya_0_92/red_pitaya_daisy.v
| 11,837 |
module MODULE1
(
output [ 2-1: 0] VAR9 , output [ 2-1: 0] VAR7 , input [ 2-1: 0] VAR55 , input [ 2-1: 0] VAR42 ,
input VAR79 , input VAR99 , input VAR95 , input VAR57 , output VAR82 , input VAR29 , input [ 16-1: 0] VAR30 , output VAR23 , output VAR61 , output VAR28 , output [ 16-1: 0] VAR52 ,
output [ 8-1: 0] VAR53 ,
input VAR26 , input VAR39 , input [ 32-1: 0] VAR71 , input [ 32-1: 0] VAR78 , input [ 4-1: 0] VAR11 , input VAR2 , input VAR1 , output reg [ 32-1: 0] VAR62 , output reg VAR31 , output reg VAR43 );
reg VAR44 ;
reg [32-1: 0] VAR25 ;
reg VAR81 ;
wire VAR27 ;
wire VAR90 ;
wire VAR59 ;
reg VAR10 ;
reg [16-1: 0] VAR48 ;
VAR66 #(.VAR80 ("VAR22"), .VAR70 ("VAR83")) VAR68
(
.VAR93 ( VAR9[1] ),
.VAR20 ( VAR7[1] ),
.VAR49 ( VAR27 )
);
VAR66 #(.VAR80 ("VAR22"), .VAR70 ("VAR83")) VAR60
(
.VAR93 ( VAR9[0] ),
.VAR20 ( VAR7[0] ),
.VAR49 ( VAR90 )
);
VAR96 VAR63
(
.VAR79 ( VAR79 ),
.VAR86 ( VAR27 ),
.VAR38 ( VAR90 ),
.VAR95 ( VAR95 ),
.VAR57 ( VAR44 ),
.VAR82 ( VAR59 ),
.VAR29 ( VAR10 ),
.VAR30 ( VAR48 )
);
reg VAR58 ;
reg VAR36 ;
wire VAR56 ;
wire VAR12 ;
wire VAR16 ;
wire VAR77 ;
wire VAR40 ;
wire VAR84 ;
wire [16-1: 0] VAR85 ;
VAR76 #(.VAR80 ("VAR22")) VAR21
(
.VAR49 ( VAR55[1] ),
.VAR88 ( VAR42[1] ),
.VAR93 ( VAR12 )
);
VAR19 #(.VAR73 ("VAR8"), .VAR80 ("VAR22")) VAR18
(
.VAR49 ( VAR55[0] ),
.VAR88 ( VAR42[0] ),
.VAR93 ( VAR16 )
);
VAR47 VAR24
(
.VAR79 ( VAR12 ),
.VAR41 ( VAR16 ),
.VAR72 ( VAR58 ),
.VAR46 ( VAR36 ),
.VAR94 ( VAR56 ),
.VAR99 ( VAR99 ),
.VAR23 ( VAR77 ), .VAR61 ( VAR40 ),
.VAR28 ( VAR84 ),
.VAR52 ( VAR85 )
);
reg VAR67 ;
wire VAR51 ;
wire [16-1: 0] VAR89 ;
wire [32-1: 0] VAR15 ;
wire [32-1: 0] VAR69 ;
VAR4 VAR32
(
.VAR17 ( VAR95 ),
.VAR98 ( VAR57 ),
.VAR45 ( VAR59 ),
.VAR3 ( VAR51 ),
.VAR54 ( VAR89 ),
.VAR91 ( VAR77 ),
.VAR64 ( VAR40 ),
.VAR34 ( VAR84 ),
.VAR74 ( VAR85 ),
.VAR14 ( VAR67 ),
.VAR92 ( VAR15 ),
.VAR65 ( VAR69 )
);
reg [ 4-1: 0] VAR33 ;
reg [ 3-1: 0] VAR87 ;
reg [16-1: 0] VAR5 ;
reg VAR6 ;
reg [16-1: 0] VAR50 ;
reg [ 4-1: 0] VAR75 ;
reg VAR13 ;
reg [16-1: 0] VAR97 ;
always @(posedge VAR95) begin
if (VAR57 == 1'b0) begin
VAR33 <= 4'h0 ;
VAR87 <= 3'h0 ;
VAR5 <= 16'h0 ;
VAR75 <= 4'h0 ;
VAR13 <= 1'b0 ;
VAR97 <= 16'h0 ;
end
else begin
VAR33 <= {VAR33[4-2:0], VAR81};
if (VAR33[4-2] ^ VAR33[4-1]) begin
VAR87 <= VAR25[ 3-1: 0] ;
VAR5 <= VAR25[32-1:16] ;
end
VAR75 <= {VAR75[4-2:0], VAR6};
if (VAR75[4-2] ^ VAR75[4-1]) begin
VAR13 <= 1'b1 ;
VAR97 <= VAR50 ;
end
else if (VAR59)
VAR13 <= 1'b0 ;
end
end
always @(*) begin
case (VAR87)
3'h0 : begin VAR48 <= 16'h0 ; VAR10 <= 1'b0 ; end
3'h1 : begin VAR48 <= VAR30 ; VAR10 <= VAR29 ; end 3'h2 : begin VAR48 <= VAR5 ; VAR10 <= VAR59 ; end 3'h3 : begin VAR48 <= 16'h00FF ; VAR10 <= VAR59 ; end 3'h4 : begin VAR48 <= VAR97 ; VAR10 <= VAR13 ; end 3'h5 : begin VAR48 <= VAR89 ; VAR10 <= VAR51 ; end endcase
end
always @(posedge VAR77) begin
if (VAR40 == 1'b0 ) begin
VAR6 <= 1'b0 ;
VAR50 <= 16'h0 ;
end
else begin
if (VAR84 && (VAR85 != 16'h0) ) begin
VAR6 <= !VAR6 ;
VAR50 <= VAR85 ;
end
end
end
assign VAR23 = VAR77 ;
assign VAR61 = VAR40 ;
assign VAR82 = VAR59 && (VAR87 == 3'h1) ;
assign VAR28 = VAR84 ;
assign VAR52 = VAR85 ;
wire VAR37 = VAR2 || VAR1 ;
always @(posedge VAR26) begin
if (VAR39 == 1'b0) begin
VAR44 <= 1'b0 ;
VAR25 <= 32'h0 ;
VAR81 <= 1'b0 ;
VAR58 <= 1'b0 ;
VAR36 <= 1'b0 ;
VAR67 <= 1'b0 ;
end
else begin
if (VAR2) begin
if (VAR71[19:0]==20'h00) VAR44 <= VAR78[ 0] ;
if (VAR71[19:0]==20'h00) VAR58 <= VAR78[ 1] ;
if (VAR71[19:0]==20'h04) begin VAR25 <= VAR78 ; VAR81 <= !VAR81; end
if (VAR71[19:0]==20'h08) VAR36 <= VAR78[ 0] ;
if (VAR71[19:0]==20'h10) VAR67 <= VAR78[ 0] ;
end
end
end
always @(posedge VAR26) begin
VAR31 <= 1'b0 ;
casez (VAR71[19:0])
20'h00000 : begin VAR43 <= VAR37; VAR62 <= { {32-2{1'b0}}, VAR58, VAR44 } ; end
20'h00004 : begin VAR43 <= VAR37; VAR62 <= { VAR25[32-1:16], 12'h0, VAR25[3-1:0] } ; end
20'h00008 : begin VAR43 <= VAR37; VAR62 <= { 27'h0, VAR56, 3'h0, VAR36 } ; end
20'h0000C : begin VAR43 <= VAR37; VAR62 <= { VAR50, VAR85 } ; end
20'h00010 : begin VAR43 <= VAR37; VAR62 <= { {32-1{1'b0}}, VAR67 } ; end
20'h00014 : begin VAR43 <= VAR37; VAR62 <= { VAR15 } ; end
20'h00018 : begin VAR43 <= VAR37; VAR62 <= { VAR69 } ; end
default : begin VAR43 <= 1'b1; VAR62 <= 32'h0 ; end
endcase
end
reg [32-1: 0] VAR35 ;
always @(posedge VAR77) begin if (VAR40 == 1'b0 ) VAR35 <= 32'h0; else VAR35 <= VAR35 + 32'h1; end
assign VAR53 = {VAR35[26], 1'b0, VAR56, VAR36, 1'b0, 1'b0, VAR58, VAR44};
endmodule
|
mit
|
AngelTerrones/MUSB
|
Hardware/fifo/fifo.v
| 4,325 |
module MODULE1#(
parameter VAR16 = 8, parameter VAR17 = 8 )(
input clk,
input rst,
input VAR10, input VAR18, input [(VAR16-1):0] VAR1, output [(VAR16-1):0] VAR5, output reg [(VAR17):0] VAR14, output VAR2, output VAR6 );
wire VAR13;
wire VAR8;
wire [(VAR16-1):0] VAR15;
reg [(VAR17-1):0] VAR9; reg [(VAR17-1):0] VAR19;
assign VAR2 = (VAR14 == 0);
assign VAR6 = (VAR14 == (1 << VAR17));
assign VAR13 = (VAR6) ? 1'b0 : VAR10; assign VAR8 = (VAR2) ? 1'b0 : VAR18; assign VAR5 = (VAR2) ? ((VAR10 & VAR18) ? VAR1 : { VAR16 {1'b0} }) : VAR15;
always @(posedge clk) begin
if (rst) begin
VAR9 <= 0;
VAR19 <= 0;
VAR14 <= 0;
end
else begin
VAR9 <= (VAR13) ? VAR9 + 1'b1 : VAR9;
VAR19 <= (VAR8) ? VAR19 + 1'b1 : VAR19;
VAR14 <= (VAR13 ~^ VAR8) ? VAR14 : ((VAR13) ? VAR14 + 1'b1 : VAR14 - 1'b1); end
end
VAR3 #(VAR16, VAR17) VAR7(
.clk (clk),
.VAR12 (VAR13),
.VAR11 (VAR19),
.VAR4 (VAR9),
.VAR1 (VAR1),
.VAR5 (VAR15)
);
endmodule
|
mit
|
Tao-J/nexys3MIPSSoC
|
vcache.v
| 5,423 |
module MODULE1(
VAR17, VAR6, VAR25, VAR18, VAR9, VAR33, VAR20,
VAR5, VAR1,
VAR19, VAR7, VAR32, VAR16, VAR34, VAR35,
VAR28
);
input wire VAR19;
input wire VAR7;
input wire VAR32;
output [7:0] VAR17;
output VAR6; output VAR25;
input wire VAR16; input wire VAR34; input wire VAR35; input wire [31:0] VAR28; output VAR18; output [31:0] VAR9; output VAR33; output VAR20; output [3:0] VAR5; output [31:0] VAR1;
wire [9:0] VAR26;
wire [9:0] VAR30;
wire VAR10;
parameter VAR13 = 'hf80000;
wire [7:0] VAR23;
assign VAR17 = VAR10 ? VAR23 : 0;
assign VAR5 = 4'b1111;
assign VAR20 = 0;
reg state;
reg [16:0] counter, VAR15;
assign VAR9 = VAR13 + (VAR15 + counter) * 4;
assign VAR18 = (state == VAR27)? 0 : 1;
assign VAR33 = ((state == VAR27)? 0 : 1) & !VAR16;
always @(posedge VAR19) begin
if (VAR16) begin
if (VAR15 == 159) begin
state <= VAR27;
VAR15 <= 0;
counter <= counter + 160;
end
else begin
VAR15 <= VAR15 + 1;
end
end
if (VAR30 >= 0 && VAR30 < 480) begin
case(state)
if (VAR3) state <= VAR14;
end
end
endcase
end
else begin
counter <= 0;
VAR15 <= 0;
end
end
wire VAR8 = VAR26 == 'd640;
wire VAR3, VAR12;
VAR4 VAR2 (
.VAR36(VAR19), .VAR11(VAR32), .rst(VAR26 == 640 || VAR12),
.din(VAR28),
.VAR21(VAR16), .VAR24(VAR10), .dout(VAR23) );
wire VAR22 = VAR32;
VAR31 VAR29(
.rst (~VAR7),
.VAR6 (VAR6),
.VAR25 (VAR25),
.VAR26 (VAR26[9:0]),
.VAR30 (VAR30[9:0]),
.VAR10 (VAR10),
.VAR3 (VAR3),
.VAR12 (VAR12),
.VAR22 (VAR22)
);
endmodule
|
gpl-3.0
|
ShepardSiegel/ocpi
|
coregen/pcie_4243_axi_k7_x4_125/source/pcie_7x_v1_3_pipe_drp.v
| 22,301 |
module MODULE1 #
(
parameter VAR16 = "1.1", parameter VAR76 = "VAR18", parameter VAR32 = "VAR1", parameter VAR77 = "VAR95", parameter VAR37 = 0, parameter VAR81 = 0, parameter VAR107 = 4'd11
)
(
input VAR53,
input VAR94,
input VAR115,
input [ 1:0] VAR71,
input VAR104,
input [15:0] VAR26,
input VAR45,
output [ 8:0] VAR29,
output VAR4,
output [15:0] VAR66,
output VAR48,
output VAR74,
output [ 6:0] VAR109
);
reg VAR23;
reg [ 1:0] VAR117;
reg VAR98;
reg [15:0] VAR72;
reg VAR10;
reg VAR100;
reg [ 1:0] VAR31;
reg VAR44;
reg [15:0] VAR97;
reg VAR101;
reg [ 3:0] VAR82 = 4'd0;
reg VAR93 = 1'd0;
reg [ 8:0] VAR35 = 9'd0;
reg [15:0] VAR91 = 16'd0;
reg VAR47 = 1'd0;
reg [ 6:0] fsm = 7'd1;
localparam VAR39 = 9'h06F;
localparam VAR28 = 9'h088;
localparam VAR103 = 9'h088;
localparam VAR114 = 9'h06B;
localparam VAR79 = 9'h06B;
localparam VAR116 = 9'h011;
localparam VAR7 = 9'h011;
localparam VAR57 = 9'h01C;
localparam VAR67 = 9'h09D;
localparam VAR83 = 9'h059;
localparam VAR102 = 9'h059;
localparam VAR38 = 9'h044;
localparam VAR55 = 9'h019;
localparam VAR40 = 9'h0A7;
localparam VAR21 = 16'b1111111111111001; localparam VAR43 = 16'b1111111110001111; localparam VAR63 = 16'b1111111111111000; localparam VAR61 = 16'b1111111111111000; localparam VAR64 = 16'b1111111111101111; localparam VAR11 = 16'b1100011111111111; localparam VAR110 = 16'b1011111111111111; localparam VAR6 = 16'b1011111111111111; localparam VAR56 = 16'b1111111111111101; localparam VAR25 = 16'b1111111101111111; localparam VAR60 = 16'b1111111110111111; localparam VAR14 = 16'b1011111111111111; localparam VAR119 = 16'b1111111111100000; localparam VAR85 = 16'b1100011111111111;
localparam VAR87 = (VAR76 == "VAR54") ? 16'b0000000000100000 : 16'b0000000000010000; localparam VAR12 = (VAR76 == "VAR54") ? 16'b0000000000000010 : 16'b0000000000000001; localparam VAR111 = 16'b0000000000000011; localparam VAR105 = 16'b0000000000000000; localparam VAR22 = 16'b0001100000000000; localparam VAR41 = 16'b0000000000000000; localparam VAR80 = 16'b0100000000000000; localparam VAR92 = 16'b0000000000000010; localparam VAR65 = 16'b0000000000000000; localparam VAR113 = 16'b0000000000000000; localparam VAR75 = 16'b0100000000000000; localparam VAR78 = 16'b0000000000000001; localparam VAR13 = 16'b0011000000000000;
localparam VAR52 = 16'b0000000000000000; localparam VAR36 = 16'b0000000000000000; localparam VAR89 = 16'b0000000000000100; localparam VAR86 = 16'b0000000000010000; localparam VAR120 = 16'b0010000000000000; localparam VAR20 = 16'b0100000000000000; localparam VAR106 = 16'b0000000000000000; localparam VAR99 = 16'b0000000000000000; localparam VAR34 = 16'b0000000010000000; localparam VAR3 = 16'b0000000001000000; localparam VAR62 = 16'b0000000000000000; localparam VAR8 = 16'b0000000000000010; localparam VAR59 = 16'b0000100000000000;
localparam VAR73 = 16'b0000000000000000; localparam VAR42 = 16'b0000000000000010; localparam VAR50 = 16'b0000000000000100;
wire [15:0] VAR27;
wire [15:0] VAR46;
wire [15:0] VAR90;
wire [15:0] VAR15;
wire [15:0] VAR51;
wire [15:0] VAR118;
wire [15:0] VAR33;
wire [15:0] VAR96;
wire [15:0] VAR9;
wire [15:0] VAR69;
wire [15:0] VAR68;
wire [15:0] VAR49;
wire [15:0] VAR70;
wire [15:0] VAR108;
wire [15:0] VAR112;
wire [15:0] VAR2;
wire [15:0] VAR17;
localparam VAR88 = 7'b0000001;
localparam VAR19 = 7'b0000010;
localparam VAR5 = 7'b0000100;
localparam VAR24 = 7'b0001000;
localparam VAR30 = 7'b0010000;
localparam VAR58 = 7'b0100000;
localparam VAR84 = 7'b1000000;
always @ (posedge VAR53)
begin
if (!VAR94)
begin
VAR23 <= 1'd0;
VAR117 <= 2'd0;
VAR72 <= 16'd0;
VAR10 <= 1'd0;
VAR98 <= 1'd0;
VAR100 <= 1'd0;
VAR31 <= 2'd0;
VAR97 <= 16'd0;
VAR101 <= 1'd0;
VAR44 <= 1'd0;
end
else
begin
VAR23 <= VAR115;
VAR117 <= VAR71;
VAR72 <= VAR26;
VAR10 <= VAR45;
VAR98 <= VAR104;
VAR100 <= VAR23;
VAR31 <= VAR117;
VAR97 <= VAR72;
VAR101 <= VAR10;
VAR44 <= VAR98;
end
end
assign VAR27 = (VAR31 == 2'd2) ? VAR52 : VAR87;
assign VAR46 = (VAR31 == 2'd2) ? VAR36 : VAR12;
assign VAR90 = (VAR31 == 2'd2) ? VAR89 : VAR111;
assign VAR15 = (VAR31 == 2'd2) ? VAR86 : VAR105;
assign VAR51 = (VAR31 == 2'd2) ? VAR120 : VAR22;
assign VAR118 = (VAR31 == 2'd2) ? VAR20 : VAR41;
assign VAR33 = ((VAR31 == 2'd2) || (VAR32 == "VAR1")) ? VAR106 : VAR80;
assign VAR96 = ((VAR31 == 2'd2) && (VAR77 == "VAR1")) ? VAR99 : VAR92;
assign VAR9 = ((VAR31 == 2'd2) || (VAR32 == "VAR1")) ? VAR34 : VAR65;
assign VAR69 = ((VAR31 == 2'd2) && (VAR77 == "VAR1")) ? VAR3 : VAR113;
assign VAR68 = (VAR31 == 2'd2) ? VAR62 : VAR75;
assign VAR49 = (VAR31 == 2'd2) ? VAR8 : VAR78;
assign VAR70 = (VAR31 == 2'd2) ? VAR59 : VAR13;
assign VAR108 = VAR73;
assign VAR112 = VAR37 ? VAR73 : VAR42;
assign VAR2 = VAR81 ? VAR73 : VAR50;
assign VAR17 = VAR112 | VAR2;
always @ (posedge VAR53)
begin
if (!VAR94)
begin
VAR35 <= 9'd0;
VAR91 <= 16'd0;
end
else
begin
case (VAR82)
4'd0:
begin
VAR35 <= VAR93 ? VAR39 : VAR28;
VAR91 <= VAR93 ? ((VAR97 & VAR21) | VAR108)
: ((VAR97 & VAR43) | VAR27);
end
4'd1:
begin
VAR35 <= VAR93 ? VAR39 : VAR103;
VAR91 <= VAR93 ? ((VAR97 & VAR21) | VAR17)
: ((VAR97 & VAR63) | VAR46);
end
4'd2 :
begin
VAR35 <= VAR114;
VAR91 <= (VAR97 & VAR61) | VAR90;
end
4'd3 :
begin
VAR35 <= VAR79;
VAR91 <= (VAR97 & VAR64) | VAR15;
end
4'd4 :
begin
VAR35 <= VAR116;
VAR91 <= (VAR97 & VAR11) | VAR51;
end
4'd5 :
begin
VAR35 <= VAR7;
VAR91 <= (VAR97 & VAR110) | VAR118;
end
4'd6 :
begin
VAR35 <= VAR57;
VAR91 <= (VAR97 & VAR6) | VAR33;
end
4'd7 :
begin
VAR35 <= VAR67;
VAR91 <= (VAR97 & VAR56) | VAR96;
end
4'd8 :
begin
VAR35 <= VAR83;
VAR91 <= (VAR97 & VAR25) | VAR9;
end
4'd9 :
begin
VAR35 <= VAR102;
VAR91 <= (VAR97 & VAR60) | VAR69;
end
4'd10 :
begin
VAR35 <= VAR38;
VAR91 <= (VAR97 & VAR14) | VAR68;
end
4'd11 :
begin
VAR35 <= VAR55;
VAR91 <= (VAR97 & VAR119) | VAR49;
end
4'd12 :
begin
VAR35 <= VAR40;
VAR91 <= (VAR97 & VAR85) | VAR70;
end
default :
begin
VAR35 <= 9'd0;
VAR91 <= 16'd0;
end
endcase
end
end
always @ (posedge VAR53)
begin
if (!VAR94)
begin
fsm <= VAR88;
VAR82 <= 4'd0;
VAR93 <= 1'd0;
VAR47 <= 1'd0;
end
else
begin
case (fsm)
VAR88 :
begin
if (VAR44)
begin
fsm <= VAR19;
VAR82 <= 4'd0;
VAR93 <= 1'd0;
VAR47 <= 1'd0;
end
else if ((VAR100 && !VAR23) && ((VAR37 == 0) || (VAR81 == 0)) && (VAR16 == "1.0"))
begin
fsm <= VAR19;
VAR82 <= 4'd0;
VAR93 <= 1'd1;
VAR47 <= 1'd0;
end
else
begin
fsm <= VAR88;
VAR82 <= 4'd0;
VAR93 <= 1'd0;
VAR47 <= 1'd1;
end
end
VAR19 :
begin
fsm <= VAR5;
VAR82 <= VAR82;
VAR93 <= VAR93;
VAR47 <= 1'd0;
end
VAR5 :
begin
fsm <= VAR24;
VAR82 <= VAR82;
VAR93 <= VAR93;
VAR47 <= 1'd0;
end
VAR24 :
begin
fsm <= VAR101 ? VAR30 : VAR24;
VAR82 <= VAR82;
VAR93 <= VAR93;
VAR47 <= 1'd0;
end
VAR30 :
begin
fsm <= VAR58;
VAR82 <= VAR82;
VAR93 <= VAR93;
VAR47 <= 1'd0;
end
VAR58 :
begin
fsm <= VAR101 ? VAR84 : VAR58;
VAR82 <= VAR82;
VAR93 <= VAR93;
VAR47 <= 1'd0;
end
VAR84 :
begin
if ((VAR82 == VAR107) || (VAR93 && (VAR82 == 4'd1)))
begin
fsm <= VAR88;
VAR82 <= 4'd0;
VAR93 <= 1'd0;
VAR47 <= 1'd0;
end
else
begin
fsm <= VAR19;
VAR82 <= VAR82 + 4'd1;
VAR93 <= VAR93;
VAR47 <= 1'd0;
end
end
default :
begin
fsm <= VAR88;
VAR82 <= 4'd0;
VAR93 <= 1'd0;
VAR47 <= 1'd0;
end
endcase
end
end
assign VAR29 = VAR35;
assign VAR4 = (fsm == VAR5) || (fsm == VAR30);
assign VAR66 = VAR91;
assign VAR48 = (fsm == VAR30) || (fsm == VAR58);
assign VAR74 = VAR47;
assign VAR109 = fsm;
endmodule
|
lgpl-3.0
|
MarcoVogt/basil
|
firmware/modules/bram_fifo/bram_fifo_core.v
| 4,831 |
module MODULE1
parameter VAR2 = 32'h8000,
parameter VAR16 = 95, parameter VAR17 = 5, parameter VAR11 = 32
) (
input wire VAR32,
input wire VAR13,
input wire [VAR11-1:0] VAR29,
input wire [7:0] VAR24,
input wire VAR33,
input wire VAR4,
output reg [7:0] VAR14,
input wire VAR39,
output reg [31:0] VAR21,
input wire VAR43,
input wire [31:0] VAR3,
output wire VAR34,
input wire VAR9,
input wire [31:0] VAR15,
output wire VAR5,
output wire VAR19,
output reg VAR31,
output wire VAR38
);
localparam VAR20 = 2;
wire VAR42; assign VAR42 = (VAR29==0 && VAR4);
wire VAR30;
assign VAR30 = VAR13 | VAR42;
reg [7:0] VAR23[7:0];
wire [7:0] VAR36;
assign VAR36 = VAR23[1];
wire [7:0] VAR6;
assign VAR6 = VAR23[2];
always @(posedge VAR32)
begin
if(VAR30)
begin
VAR23[0] <= 8'b0;
VAR23[1] <= 255*VAR16/100;
VAR23[2] <= 255*VAR17/100;
VAR23[3] <= 8'b0;
VAR23[4] <= 8'b0;
VAR23[5] <= 8'b0;
VAR23[6] <= 8'b0;
VAR23[7] <= 8'b0;
end
else if(VAR4 && VAR29 < 8)
begin
VAR23[VAR29[2:0]] <= VAR24;
end
end
wire [31:0] VAR28; reg [31:0] VAR7;
reg [7:0] VAR27; wire [31:0] VAR45; assign VAR28 = VAR45 * 4;
always @ (posedge VAR32) begin
if(VAR33) begin
if(VAR29 == 0)
VAR14 <= VAR20;
end
else if(VAR29 == 1)
VAR14 <= VAR36;
end
else if(VAR29 == 2)
VAR14 <= VAR6;
else if(VAR29 == 3)
VAR14 <= VAR27;
else if(VAR29 == 4)
VAR14 <= VAR28[7:0]; else if(VAR29 == 5)
VAR14 <= VAR7[15:8];
else if(VAR29 == 6)
VAR14 <= VAR7[23:16];
else if(VAR29 == 7)
VAR14 <= VAR7[31:24];
else
VAR14 <= 8'b0;
end
end
always @ (posedge VAR32)
begin
if (VAR29 == 4 && VAR33)
VAR7 <= VAR28;
end
wire VAR35;
wire [31:0] VAR40;
wire VAR10;
assign VAR34 = !VAR10;
localparam VAR37 = VAR26(VAR2);
VAR44 #(.VAR1(32), .VAR2(VAR2)) VAR22
( .clk(VAR32), .reset(VAR30),
.write(!VAR9 || VAR43),
.read(VAR39),
.VAR41(VAR43 ? VAR3 : VAR15),
.VAR25(VAR10),
.VAR18(VAR35),
.VAR8(VAR40[31:0]), .VAR12(VAR45[VAR37-1:0])
);
assign VAR45[31:VAR37] = 0;
always@(posedge VAR32)
VAR21 <= VAR40;
assign VAR5 = !VAR35;
assign VAR19 = VAR10;
assign VAR38 = (VAR27 != 0);
always@(posedge VAR32) begin
if(VAR30)
VAR27 <= 0;
end
else if(VAR35 && VAR39 && VAR27 != 8'hff)
VAR27 <= VAR27 +1;
end
always @(posedge VAR32) begin
if(VAR30)
VAR31 <= 1'b0;
end
else if (((((VAR36+1)*VAR2)>>8) <= VAR45) || (VAR36 == 8'b0 && VAR45 >= 0))
VAR31 <= 1'b1;
else if (((((VAR6+1)*VAR2)>>8) >= VAR45 && VAR6 != 8'b0) || VAR45 == 0)
VAR31 <= 1'b0;
end
endmodule
|
bsd-3-clause
|
Cosmos-OpenSSD/Cosmos-plus-OpenSSD
|
project/Predefined/2Ch8Way-1.0.3/IPRepo-1.0.3/NVMeHostController4L/src/pcie_rx_recv.v
| 13,606 |
module MODULE1 # (
parameter VAR16 = 128
)
(
input VAR59,
input VAR35,
input [VAR16-1:0] VAR56,
input [(VAR16/8)-1:0] VAR13,
input VAR23,
input VAR14,
output VAR47,
input [21:0] VAR19,
output VAR42,
output VAR18,
output VAR29,
output VAR5,
output [VAR16-1:0] VAR64,
output [7:0] VAR2,
output [VAR16-1:0] VAR32,
output VAR48,
output VAR50
);
localparam VAR57 = 4'b0001;
localparam VAR36 = 4'b0010;
localparam VAR58 = 4'b0100;
localparam VAR17 = 4'b1000;
reg [3:0] VAR11;
reg [3:0] VAR45;
wire [4:0] VAR12;
wire [4:0] VAR49;
reg [31:0] VAR21;
reg [31:0] VAR62;
reg [31:0] VAR24;
wire [2:0] VAR28;
wire [4:0] VAR8;
wire VAR33;
wire [2:0] VAR31;
wire [4:0] VAR25;
wire VAR37;
wire [9:0] VAR46;
wire [2:0] VAR41;
wire [11:0] VAR53;
wire [7:0] VAR15;
wire VAR9;
wire VAR34;
reg VAR63;
reg VAR60;
reg VAR61;
reg VAR10;
reg VAR3;
reg [7:0] VAR51;
reg [11:2] VAR1;
reg [11:2] VAR4;
reg VAR54;
reg VAR27;
reg VAR6;
reg VAR39;
reg VAR22;
reg VAR38;
reg VAR43;
reg [127:0] VAR52;
reg [127:0] VAR7;
reg VAR55;
reg [127:0] VAR30;
reg VAR26;
reg VAR20;
reg [127:0] VAR40;
reg VAR44;
assign VAR47 = ~VAR38;
assign VAR42 = VAR61;
assign VAR18 = VAR10;
assign VAR29 = VAR3;
assign VAR5 = VAR55;
assign VAR64 = VAR30;
assign VAR2 = VAR51;
assign VAR48 = VAR20;
assign VAR32[31:0] = {VAR40[7:0], VAR40[15:8], VAR40[23:16], VAR40[31:24]};
assign VAR32[63:32] = {VAR40[39:32], VAR40[47:40], VAR40[55:48], VAR40[63:56]};
assign VAR32[95:64] = {VAR40[71:64], VAR40[79:72], VAR40[87:80], VAR40[95:88]};
assign VAR32[127:96] = {VAR40[103:96], VAR40[111:104], VAR40[119:112], VAR40[127:120]};
assign VAR50 = VAR44;
assign VAR12 = VAR19[14:10];
assign VAR49 = VAR19[21:17];
always @
begin
case(VAR11)
VAR57: begin
if(VAR14 == 1 && VAR12[4] == 1 && VAR49[4] == 0 ) begin
if(VAR12[3] == 1)
VAR45 <= VAR58;
end
else
VAR45 <= VAR36;
end
else
VAR45 <= VAR57;
end
VAR36: begin
if(VAR14 == 1 && VAR49[4] == 1) begin
if(VAR12[4] == 1)
VAR45 <= VAR58;
end
else
VAR45 <= VAR57;
end
else
VAR45 <= VAR36;
end
VAR58: begin
if(VAR14 == 1 && VAR49[4] == 1) begin
if(VAR12[4] == 1)
VAR45 <= VAR58;
end
else if(VAR49[3] == 1)
VAR45 <= VAR17;
end
else
VAR45 <= VAR57;
end
else
VAR45 <= VAR58;
end
VAR17: begin
VAR45 <= VAR57;
end
default: begin
VAR45 <= VAR57;
end
endcase
end
always @ (posedge VAR59)
begin
if(VAR14 == 1 && VAR12[4] == 1) begin
VAR63 <= VAR9 & ~VAR33;
VAR60 <= VAR34 & ~VAR37 & (VAR41 == 0);
VAR1 <= VAR46;
VAR4[11:2] <= VAR53[11:2];
end
end
always @ (posedge VAR59 or negedge VAR35)
begin
if(VAR35 == 0) begin
VAR61 <= 0;
VAR10 <= 0;
VAR3 <= 0;
end
else begin
if(VAR60 == 1 && VAR1 < 2) begin
VAR3 <= 1;
end
if(VAR14 == 1 && VAR12[4] == 1) begin
VAR61 <= VAR9 & VAR33;
VAR10 <= VAR34 & (VAR37 | (VAR41 != 0));
end
end
end
always @ (posedge VAR59)
begin
case(VAR11)
VAR57: begin
VAR51 <= VAR15;
VAR54 <= 0;
end
VAR36: begin
end
VAR58: begin
if(VAR14 == 1)
VAR54 <= ~VAR12[4];
if(VAR54 == 0)
VAR51 <= VAR15;
end
VAR17: begin
end
default: begin
end
endcase
end
always @
begin
if(VAR43 == 1)
VAR30 <= {VAR52[63:0], VAR7[127:64]};
end
else
VAR30 <= VAR52;
if(VAR43 == 1)
VAR40 <= {VAR52[31:0], VAR7[127:32]};
else
VAR40 <= {VAR52[95:0], VAR7[127:96]};
end
endmodule
|
gpl-3.0
|
UCR-CS179-SUMMER2014/NES_FPGA
|
source/etc/hardware/nes_snes_controller/snes_controller.v
| 9,087 |
module MODULE1(
VAR35, VAR19, VAR26, VAR30, VAR14 );
input VAR19;
input VAR30;
output VAR35;
output VAR26;
output [12:0] VAR14;
reg [12:0] VAR24; reg VAR6; reg pulse; reg VAR29; reg [17:0] VAR4;
reg [5:0] VAR21;
parameter VAR8 = 1;
parameter VAR3 = 2;
parameter VAR23 = 3;
parameter VAR27 = 4;
parameter VAR22 = 5;
parameter VAR40 = 6;
parameter VAR42 = 7;
parameter VAR7 = 8;
parameter VAR15 = 9;
parameter VAR1 = 10;
parameter VAR9 = 11;
parameter VAR34 = 12;
parameter VAR25 = 13;
parameter VAR10 = 14;
parameter VAR44 = 15;
parameter VAR17 = 16;
parameter VAR43 = 17;
parameter VAR13 = 18;
parameter VAR11 = 19;
parameter VAR41 = 20;
parameter VAR18 = 21;
parameter VAR32 = 22;
parameter VAR5 = 23;
parameter VAR33 = 24;
parameter VAR37 = 25;
parameter VAR39 = 26;
parameter VAR2 = 27;
parameter VAR45 = 28;
parameter VAR28 = 29;
parameter VAR12 = 30;
parameter VAR36 = 31;
parameter VAR20 = 32;
parameter VAR38 = 33;
parameter VAR46 = 34;
parameter VAR31 = 35;
parameter VAR16 = 12'h0A2;
assign VAR35 = VAR6;
assign VAR26 = pulse;
assign VAR14 = VAR24;
begin
end
begin
begin
|
mit
|
iafnan/es2-hardwaresecurity
|
or1200/rtl/verilog/or1200/or1200_lsu.v
| 8,075 |
module MODULE1(
clk, rst,
VAR20, VAR19, VAR29, VAR40, VAR22, VAR7, VAR46,
VAR14, VAR4, VAR11, VAR15, VAR36,
VAR38, VAR24, VAR37, VAR42, VAR12, VAR2,
VAR32, VAR39, VAR8, VAR16, VAR10
);
parameter VAR18 = VAR9;
parameter VAR17 = VAR33;
input clk;
input rst;
input [31:0] VAR20;
input [31:0] VAR19;
input [VAR34-1:0] VAR29;
input [VAR18-1:0] VAR40;
output [VAR18-1:0] VAR22;
output VAR7;
output VAR46;
input VAR14;
output VAR4;
output VAR11;
output VAR15;
output VAR36;
output [31:0] VAR38;
output VAR24;
output VAR37;
output [3:0] VAR42;
output [3:0] VAR12;
output [31:0] VAR2;
input [31:0] VAR32;
input VAR39;
input VAR8;
input VAR16;
input [3:0] VAR10;
reg [3:0] VAR42;
assign VAR7 = VAR8 & VAR24;
assign VAR46 = VAR39;
assign VAR4 = ((VAR29 == VAR23) | (VAR29 == VAR43) | (VAR29 == VAR27)) & VAR38[0]
| ((VAR29 == VAR28) | (VAR29 == VAR25) | (VAR29 == VAR45)) & |VAR38[1:0];
assign VAR11 = VAR16 & (VAR10 == VAR3);
assign VAR15 = VAR16 & (VAR10 == VAR44);
assign VAR36 = VAR16 & (VAR10 == VAR21);
assign VAR38 = VAR20 + VAR19;
assign VAR24 = VAR14 | VAR46 | VAR4 ? 1'b0 : |VAR29;
assign VAR37 = VAR29[3];
assign VAR12 = VAR24 ? VAR13 : VAR6;
always @(VAR29 or VAR38)
casex({VAR29, VAR38[1:0]})
{VAR5, 2'b00} : VAR42 = 4'b1000;
{VAR5, 2'b01} : VAR42 = 4'b0100;
{VAR5, 2'b10} : VAR42 = 4'b0010;
{VAR5, 2'b11} : VAR42 = 4'b0001;
{VAR23, 2'b00} : VAR42 = 4'b1100;
{VAR23, 2'b10} : VAR42 = 4'b0011;
{VAR28, 2'b00} : VAR42 = 4'b1111;
{VAR26, 2'b00}, {VAR41, 2'b00} : VAR42 = 4'b1000;
{VAR26, 2'b01}, {VAR41, 2'b01} : VAR42 = 4'b0100;
{VAR26, 2'b10}, {VAR41, 2'b10} : VAR42 = 4'b0010;
{VAR26, 2'b11}, {VAR41, 2'b11} : VAR42 = 4'b0001;
{VAR43, 2'b00}, {VAR27, 2'b00} : VAR42 = 4'b1100;
{VAR43, 2'b10}, {VAR27, 2'b10} : VAR42 = 4'b0011;
{VAR25, 2'b00}, {VAR45, 2'b00} : VAR42 = 4'b1111;
default : VAR42 = 4'b0000;
endcase
VAR31 VAR31(
.addr(VAR38[1:0]),
.VAR29(VAR29),
.VAR30(VAR32),
.VAR35(VAR22)
);
VAR1 VAR1(
.addr(VAR38[1:0]),
.VAR29(VAR29),
.VAR35(VAR40),
.VAR30(VAR2)
);
endmodule
|
gpl-3.0
|
m-labs/milkymist
|
cores/minimac2/rtl/minimac2_tx.v
| 2,275 |
module MODULE1(
input VAR13,
input VAR16,
output reg VAR3,
input [10:0] VAR7,
input [7:0] VAR15,
output [10:0] VAR11,
output reg VAR21,
output reg [3:0] VAR2
);
reg VAR6;
reg VAR18;
wire [3:0] VAR5 = VAR18 ? VAR15[7:4] : VAR15[3:0];
always @(posedge VAR13) begin
VAR21 <= VAR6;
VAR2 <= VAR5;
end
reg [10:0] VAR9;
reg VAR1;
reg VAR20;
always @(posedge VAR13) begin
if(VAR1)
VAR9 <= 11'd0;
end
else if(VAR20)
VAR9 <= VAR9 + 11'd1;
end
assign VAR11 = VAR9;
wire VAR8 = VAR9 == VAR7;
parameter VAR17 = 2'd0;
parameter VAR10 = 2'd1;
parameter VAR12 = 2'd2;
parameter VAR19 = 2'd3;
reg [1:0] state;
reg [1:0] VAR14;
VAR4 state <= VAR17;
always @(posedge VAR13)
state <= VAR14;
always @(*) begin
VAR6 = 1'b0;
VAR18 = 1'b0;
VAR1 = 1'b0;
VAR20 = 1'b0;
VAR3 = 1'b0;
VAR14 = state;
case(state)
VAR17: begin
VAR1 = 1'b1;
if(VAR16)
VAR14 = VAR10;
end
VAR10: begin
VAR20 = 1'b1;
VAR6 = 1'b1;
VAR18 = 1'b0;
VAR14 = VAR12;
end
VAR12: begin
VAR6 = 1'b1;
VAR18 = 1'b1;
if(VAR8)
VAR14 = VAR19;
end
else
VAR14 = VAR10;
end
VAR19: begin
VAR1 = 1'b1;
VAR3 = 1'b1;
VAR14 = VAR17;
end
endcase
end
endmodule
|
lgpl-3.0
|
m-labs/milkymist
|
cores/fmlmeter/rtl/fmlmeter.v
| 3,002 |
module MODULE1 #(
parameter VAR13 = 4'h0,
parameter VAR12 = 26
) (
input VAR20,
input VAR19,
input [13:0] VAR1,
input VAR24,
input [31:0] VAR9,
output reg [31:0] VAR17,
input VAR4,
input VAR28,
input VAR16,
input [VAR12-1:0] VAR15
);
reg VAR11;
reg VAR6;
reg VAR14;
reg [VAR12-1:0] VAR7;
always @(posedge VAR20) begin
VAR11 <= VAR4;
VAR6 <= VAR28;
VAR14 <= VAR16;
VAR7 <= VAR15;
end
reg VAR21; reg [31:0] VAR27; reg [31:0] VAR10; reg [12:0] VAR2; reg [11:0] VAR23; reg [VAR12:0] VAR26;
reg [VAR12:0] VAR18[0:4095];
wire VAR3 = ~VAR2[12];
wire VAR5 = VAR3 & VAR11 & VAR6;
wire [11:0] VAR8 = VAR5 ? VAR2[11:0] : VAR23;
wire [VAR12:0] VAR22 = {VAR14, VAR7};
always @(posedge VAR20) begin
if(VAR5)
VAR18[VAR8] <= VAR22;
VAR26 <= VAR18[VAR8];
end
wire VAR25 = VAR1[13:10] == VAR13;
always @(posedge VAR20) begin
if(VAR19) begin
VAR21 <= 1'b0;
VAR27 <= 32'd0;
VAR10 <= 32'd0;
VAR2 <= 13'd4096;
VAR23 <= 12'd0;
VAR17 <= 32'd0;
end else begin
if(VAR21) begin
if(VAR11)
VAR27 <= VAR27 + 32'd1;
if(VAR6)
VAR10 <= VAR10 + 32'd1;
end
if(VAR5)
VAR2 <= VAR2 + 13'd1;
VAR17 <= 32'd0;
if(VAR25) begin
if(VAR24) begin
case(VAR1[2:0])
3'b000: begin
VAR21 <= VAR9[0];
if(VAR9[0]) begin
VAR27 <= 32'd0;
VAR10 <= 32'd0;
end
end
3'b011: VAR2 <= 13'd0;
3'b100: VAR23 <= VAR9[11:0];
endcase
end
case(VAR1[3:0])
3'b000: VAR17 <= VAR21;
3'b001: VAR17 <= VAR27;
3'b010: VAR17 <= VAR10;
3'b011: VAR17 <= VAR2;
3'b100: VAR17 <= VAR23;
3'b101: VAR17 <= VAR26;
endcase
end
end
end
endmodule
|
lgpl-3.0
|
tmatsuya/milkymist-ml401
|
cores/ac97/rtl/ac97_transceiver.v
| 2,258 |
module MODULE1(
input VAR28,
input VAR16,
input VAR30,
input VAR23,
input VAR1,
output reg VAR31,
output reg VAR10,
output VAR26,
input VAR7,
output VAR5,
output VAR34,
output VAR2,
input VAR25,
input VAR3,
input VAR14
);
reg VAR13;
always @(negedge VAR30) VAR13 <= VAR1;
reg VAR21;
always @(negedge VAR30) VAR21 <= VAR10;
wire VAR8;
VAR22 #(
.VAR15(2),
.VAR33(6)
) VAR11 (
.VAR29({VAR5, VAR34}),
.VAR32(VAR8),
.VAR24(VAR7),
.VAR19(VAR28),
.VAR18({VAR21, VAR13}),
.VAR35(),
.VAR6(1'b1),
.VAR9(~VAR30),
.VAR4(VAR16)
);
assign VAR26 = ~VAR8;
wire VAR27;
always @(negedge VAR23, posedge VAR30) begin
if(~VAR23)
VAR10 <= 1'b0;
end
else
VAR10 <= VAR27;
end
wire VAR20;
always @(negedge VAR23, posedge VAR30) begin
if(~VAR23)
VAR31 <= 1'b0;
end
else
VAR31 <= VAR20;
end
wire VAR17;
VAR22 #(
.VAR15(2),
.VAR33(6)
) VAR12 (
.VAR29({VAR27, VAR20}),
.VAR32(),
.VAR24(1'b1),
.VAR19(VAR30),
.VAR18({VAR3, VAR14}),
.VAR35(VAR17),
.VAR6(VAR25),
.VAR9(VAR28),
.VAR4(VAR16)
);
assign VAR2 = ~VAR17;
endmodule
|
lgpl-3.0
|
tmolteno/TART
|
hardware/FPGA/tart_spi/verilog/fifo/afifo_gray.v
| 9,896 |
module MODULE1
parameter VAR19 = VAR10-1,
parameter VAR14 = 4,
parameter VAR15 = VAR14-1,
parameter VAR2 = 1 << VAR14,
parameter VAR23 = 3)
( input VAR17,
input VAR28,
input VAR26,
input VAR5,
input [VAR19:0] VAR18,
input VAR3,
output [VAR19:0] VAR27,
output reg VAR16 = 1'b0,
output reg VAR25 = 1'b1
);
wire [VAR14:0] VAR11, VAR9;
wire [VAR14:0] VAR32, VAR20;
reg [VAR14:0] VAR1 = 0, VAR22 = 0;
reg [VAR14:0] VAR29 = 0, VAR21 = 0;
reg [VAR14:0] VAR24 = 0;
reg [VAR14:0] VAR7 = 0;
wire [VAR14:0] VAR4, VAR12;
reg VAR31 = 1'b1, VAR13 = 1'b1;
reg VAR6 = 1'b1, VAR30 = 1'b1;
always @(posedge VAR17 or posedge VAR26)
if (VAR26) VAR31 <= #VAR23 1'b1;
else if (!VAR6) VAR31 <= #VAR23 1'b0;
always @(posedge VAR17 or posedge VAR26)
if (VAR26) VAR6 <= #VAR23 1'b1;
else VAR6 <= #VAR23 1'b0;
always @(posedge VAR28 or posedge VAR26)
if (VAR26) VAR13 <= #VAR23 1'b1;
else if (!VAR30) VAR13 <= #VAR23 1'b0;
always @(posedge VAR28 or posedge VAR26)
if (VAR26) VAR30 <= #VAR23 1'b1;
else VAR30 <= #VAR23 1'b0;
reg [VAR19:0] VAR8 [0:VAR2-1];
assign VAR27 = VAR8 [VAR29[VAR15:0]];
always @(posedge VAR28) begin
if (VAR5 && !VAR13)
VAR8 [VAR1 [VAR15:0]] <= #VAR23 VAR18;
end
assign VAR11 = VAR1 + {{VAR14{1'b0}},1'b1};
assign VAR9 = VAR11 ^ {1'b0, VAR11[VAR14:1]};
assign VAR32 = VAR29 + {{VAR14{1'b0}},1'b1};
assign VAR20 = VAR32 ^ {1'b0, VAR32[VAR14:1]};
always @(posedge VAR28)
if (VAR13) VAR1 <= #VAR23 {VAR14+1{1'b0}};
else if (VAR5) VAR1 <= #VAR23 VAR11;
always @(posedge VAR28)
if (VAR13) VAR22 <= #VAR23 {VAR14+1{1'b0}};
else if (VAR5) VAR22 <= #VAR23 VAR9;
always @(posedge VAR17)
if (VAR31) VAR29 <= #VAR23 {VAR14+1{1'b0}};
else if (VAR3) VAR29 <= #VAR23 VAR32;
always @(posedge VAR17)
if (VAR31) VAR21 <= #VAR23 {VAR14+1{1'b0}};
else if (VAR3) VAR21 <= #VAR23 VAR20;
always @(posedge VAR17)
VAR24 <= #VAR23 VAR22;
always @(posedge VAR28)
VAR7 <= #VAR23 VAR21;
assign VAR4 = VAR24 ^ {1'b0, VAR4[VAR14:1]}; assign VAR12 = VAR7 ^ {1'b0, VAR12[VAR14:1]};
always @(posedge VAR17)
if (VAR26)
VAR25 <= #VAR23 1'b1;
else
VAR25 <= #VAR23 VAR24 == VAR21 || VAR3 && VAR24 == VAR20;
always @(posedge VAR28)
if (VAR26)
VAR16 <= #VAR23 1'b0;
else
VAR16 <= #VAR23 ((VAR1[VAR15:0] == VAR12[VAR15:0]) && (VAR1[VAR14] != VAR12[VAR14])) ||
(VAR5 && (VAR11[VAR15:0] == VAR12[VAR15:0]) && (VAR11[VAR14] != VAR12[VAR14]));
always @(posedge VAR28)
if (VAR5 && VAR16)
", );
always @(posedge VAR17)
if (VAR3 && VAR25)
", );
endmodule
|
lgpl-3.0
|
peteasa/oh
|
src/mio/hdl/mtx_io.v
| 3,418 |
module MODULE1 (
VAR8, VAR9, VAR15,
VAR6, VAR14, VAR13, VAR24, VAR7, VAR21, VAR19
);
parameter VAR20 = 16;
input VAR6; input VAR14; input VAR13; input VAR24;
output [VAR20-1:0] VAR8; output VAR9; input VAR7;
input VAR21; input [2*VAR20-1:0] VAR19; output VAR15;
reg VAR9;
wire [VAR20-1:0] VAR10;
reg [VAR20-1:0] VAR5;
reg VAR16;
wire [2*VAR20-1:0] VAR1;
wire VAR11;
VAR3 VAR3(.VAR22 (VAR11),
.clk (VAR14),
.VAR12 (VAR6));
always @ (posedge VAR14 or negedge VAR11)
if(!VAR11)
VAR9 <= 1'b0;
else
VAR9 <= VAR21;
always @ (posedge VAR14)
if(VAR21)
VAR5[VAR20-1:0] <= VAR16 ? VAR19[VAR20-1:0] :
VAR19[2*VAR20-1:VAR20];
always @ (posedge VAR14)
if(~VAR21)
VAR16 <= 1'b0;
else if (~VAR13)
VAR16 <= VAR21 ^ VAR16;
assign VAR15 = VAR7 | VAR16;
assign VAR1[2*VAR20-1:0] = (~VAR24 & VAR13) ? {VAR19[VAR20-1:0],
VAR19[2*VAR20-1:VAR20]} :
VAR19[2*VAR20-1:0];
VAR4#(.VAR23(VAR20))
VAR17 (.out (VAR10[VAR20-1:0]),
.clk (VAR14),
.VAR2 (VAR1[VAR20-1:0]),
.VAR18 (VAR1[2*VAR20-1:VAR20])
);
assign VAR8[VAR20-1:0] = VAR13 ? VAR10[VAR20-1:0] :
VAR5[VAR20-1:0];
endmodule
|
mit
|
jamesbowman/verilog1802
|
cdp1802.v
| 7,255 |
module MODULE1 (
input VAR15,
input VAR43,
output reg VAR4, input [3:0] VAR11,
input [7:0] VAR5, output [7:0] VAR1, output [2:0] VAR2, output VAR45, output VAR18,
output VAR27,
output VAR30, output VAR40, output [15:0] VAR37, input [7:0] VAR38, output [7:0] VAR19 );
reg [2:0] state, VAR44;
localparam VAR28 = 3'd0; localparam VAR14 = 3'd1; localparam VAR21 = 3'd2; localparam VAR26 = 3'd3; localparam VAR22 = 3'd4; localparam VAR10 = 3'd5; localparam VAR25 = 3'd6;
reg [3:0] VAR47, VAR24;
reg [15:0] VAR46[0:15]; wire [3:0] VAR35; wire [15:0] VAR12 = VAR46[VAR35]; reg [15:0] VAR13;
reg [7:0] VAR3; reg VAR48; reg [7:0] VAR31; reg [7:0] VAR38; wire [3:0] VAR34, VAR16;
assign VAR19 = (VAR34 == 4'h6) ? VAR5 : VAR3;
assign VAR37 = VAR12;
reg VAR7;
always @*
casez ({VAR34, VAR16})
{4'h3, 4'VAR17?000}, {4'hc, 4'VAR17??00}: VAR7 = 1;
{4'h3, 4'VAR17?001}, {4'hc, 4'VAR17??01}: VAR7 = VAR4;
{4'h3, 4'VAR17?010}, {4'hc, 4'VAR17??10}: VAR7 = (VAR3 == 8'h00);
{4'h3, 4'VAR17?011}, {4'hc, 4'VAR17??11}: VAR7 = VAR48;
{4'h3, 4'VAR17?1??}: VAR7 = VAR11[VAR16[1:0]];
default: VAR7 = 1'VAR8;
endcase
wire VAR20 = VAR7 ^ VAR16[3];
always @*
case (state)
VAR14: VAR44 = VAR21;
VAR21:
case (VAR34)
4'h3: VAR44 = VAR20 ? VAR10 : VAR14;
4'hc: VAR44 = VAR20 ? VAR22 : VAR25;
default: VAR44 = VAR30 ? VAR26 : VAR14;
endcase
VAR22: VAR44 = VAR10;
default: VAR44 = VAR14;
endcase
assign {VAR34, VAR16} = (state == VAR21) ? VAR38 : VAR38;
wire [3:0] VAR9 = ((VAR34 == 4'hD)) ? VAR16 : VAR47; wire [3:0] VAR39 = ((VAR34 == 4'hE)) ? VAR16 : VAR24; wire VAR41 = (({VAR34, VAR16} == 8'h7a) | ({VAR34, VAR16} == 8'h7b)) ? VAR16[0] : VAR4;
reg [5:0] VAR32; assign {VAR35, VAR30, VAR40} = VAR32;
localparam VAR6 = 2'b00; localparam VAR23 = 2'b10; localparam VAR36 = 2'b01;
always @(state, VAR34, VAR16)
case (state)
VAR14, VAR22, VAR25: {VAR32, VAR13} = {VAR47, VAR23, VAR12 + 16'd1};
VAR21, VAR26:
casez ({VAR34, VAR16})
8'h0?: {VAR32, VAR13} = {VAR16, VAR23, VAR12};
8'h1?: {VAR32, VAR13} = {VAR16, VAR6, VAR12 + 16'd1};
8'h2?: {VAR32, VAR13} = {VAR16, VAR6, VAR12 - 16'd1};
8'h4?: {VAR32, VAR13} = {VAR16, VAR23, VAR12 + 16'd1};
8'h5?: {VAR32, VAR13} = {VAR16, VAR36, VAR12};
8'hd?,
8'he?,
8'h8?,
8'h9?: {VAR32, VAR13} = {VAR16, VAR6, VAR12};
8'ha?: {VAR32, VAR13} = {VAR16, VAR6, VAR12[15:8], VAR3};
8'hb?: {VAR32, VAR13} = {VAR16, VAR6, VAR3, VAR12[7:0]};
8'h73: {VAR32, VAR13} = {VAR24, VAR36, VAR12 - 16'd1};
8'h72,
{4'h6, 4'b0???}: {VAR32, VAR13} = {VAR24, VAR23, VAR12 + 16'd1};
{4'h6, 4'b1???}: {VAR32, VAR13} = {VAR24, VAR36, VAR12};
8'h7c, 8'h7d, 8'h7f, 8'hf8, 8'hf9, 8'hfa, 8'hfb, 8'hfc, 8'hfd, 8'hff,
8'h3?, 8'hc?: {VAR32, VAR13} = {VAR47, VAR23, VAR12 + 16'd1};
default: {VAR32, VAR13} = {VAR24, VAR23, VAR12};
endcase
VAR10: {VAR32, VAR13} = {VAR47, VAR6, (VAR34 == 4'hc) ? VAR31 : VAR12[15:8], VAR38};
default: {VAR32, VAR13} = {VAR24, VAR6, VAR12};
endcase
wire [8:0] VAR42 = (VAR34[3]) ? 9'd0 : {8'd0, VAR48}; wire [8:0] VAR33 = (VAR34[3]) ? 9'd0 : ~{9{VAR48}}; reg [8:0] VAR29;
always @*
casez ({VAR34, VAR16})
8'h72,
8'hf0,
8'hf8,
8'h4?,
8'h0?: VAR29 = {VAR48, VAR38};
8'h8?: VAR29 = {VAR48, VAR12[7:0]};
8'h9?: VAR29 = {VAR48, VAR12[15:8]};
8'b01101???: VAR29 = {VAR48, VAR5};
8'b1111?001: VAR29 = {VAR48, VAR3 | VAR38};
8'b1111?010: VAR29 = {VAR48, VAR3 & VAR38};
8'b1111?011: VAR29 = {VAR48, VAR3 ^ VAR38};
8'VAR17?111?100: VAR29 = {1'b0, VAR3} + {1'b0, VAR38} + VAR42;
8'VAR17?111?101: VAR29 = ({1'b1, VAR38} - {1'b0, VAR3}) + VAR33;
8'VAR17?111?111: VAR29 = ({1'b1, VAR3} - {1'b0, VAR38}) + VAR33;
8'VAR17?1110110: VAR29 = {VAR3[0], VAR42[0], VAR3[7:1]};
8'VAR17?1111110: VAR29 = {VAR3, VAR42[0]};
default: VAR29 = {VAR48, VAR3};
endcase
assign VAR2 = VAR16[2:0];
assign VAR18 = (VAR34 == 4'h6) & ~VAR16[3] & (state == VAR26) & (VAR16[2:0] != 3'b000);
assign VAR45 = (VAR34 == 4'h6) & VAR16[3] & (state == VAR21) & (VAR16[2:0] != 3'b000);
assign VAR1 = VAR38;
assign VAR27 = {VAR34, VAR16} == 8'h70;
always @(negedge VAR43 or posedge VAR15)
if (!VAR43) begin
{VAR38, VAR4, VAR47, VAR24} <= 0;
{VAR48, VAR3} <= 9'd0;
VAR46[0] <= 16'd0;
state <= VAR28;
end else begin
state <= VAR44;
if (state == VAR21)
{VAR38, VAR4, VAR47, VAR24} <= {VAR38, VAR41, VAR9, VAR39};
if (state != VAR26)
VAR46[VAR35] <= VAR13;
if (((state == VAR21) & !VAR30) || (state == VAR26))
{VAR48, VAR3} <= VAR29;
if (state == VAR22)
VAR31 <= VAR38;
end
endmodule
|
bsd-3-clause
|
Digilent/vivado-library
|
ip/Pmods/PmodHYGRO_v1_0/src/PmodHYGRO.v
| 13,442 |
module MODULE1
(VAR27,
VAR79,
VAR142,
VAR10,
VAR187,
VAR192,
VAR150,
VAR36,
VAR188,
VAR40,
VAR26,
VAR109,
VAR161,
VAR73,
VAR178,
VAR180,
VAR16,
VAR38,
VAR195,
VAR19,
VAR67,
VAR7,
VAR41,
VAR116,
VAR85,
VAR122,
VAR112,
VAR22,
VAR113,
VAR20,
VAR160,
VAR84,
VAR34,
VAR175,
VAR4,
VAR1,
VAR69,
VAR61,
VAR143,
VAR155,
VAR165,
VAR144,
VAR70,
VAR14,
VAR104,
VAR123,
VAR149,
VAR93,
VAR169,
VAR111,
VAR114,
VAR118,
VAR76,
VAR24,
VAR60,
VAR35,
VAR46,
VAR128,
VAR127,
VAR153,
VAR96
);
output VAR4;
input [8:0] VAR27;
output VAR79;
input VAR142;
input [8:0] VAR10;
output VAR187;
input VAR192;
input VAR150;
output [1:0] VAR36;
output VAR188;
output [31:0] VAR40;
input VAR26;
output [1:0] VAR109;
output VAR161;
input [31:0] VAR73;
output VAR178;
input [3:0] VAR180;
input VAR16;
input [8:0] VAR38;
output VAR195;
input VAR19;
input [8:0] VAR67;
output VAR7;
input VAR41;
input VAR116;
output [1:0] VAR85;
output VAR122;
output [31:0] VAR112;
input VAR22;
output [1:0] VAR113;
output VAR20;
input [31:0] VAR160;
output VAR84;
input [3:0] VAR34;
input VAR175;
input VAR1;
output VAR69;
output VAR61;
input VAR143;
output VAR155;
output VAR165;
input VAR144;
output VAR70;
output VAR14;
input VAR104;
output VAR123;
output VAR149;
input VAR93;
output VAR169;
output VAR111;
input VAR114;
output VAR118;
output VAR76;
input VAR24;
output VAR60;
output VAR35;
input VAR46;
output VAR128;
output VAR127;
input VAR153;
input VAR96;
wire [8:0] VAR136;
wire VAR179;
wire VAR11;
wire [8:0] VAR156;
wire VAR172;
wire VAR45;
wire VAR137;
wire [1:0] VAR65;
wire VAR135;
wire [31:0] VAR186;
wire VAR107;
wire [1:0] VAR159;
wire VAR87;
wire [31:0] VAR168;
wire VAR51;
wire [3:0] VAR189;
wire VAR100;
wire [8:0] VAR25;
wire VAR97;
wire VAR138;
wire [8:0] VAR124;
wire VAR33;
wire VAR30;
wire VAR130;
wire [1:0] VAR17;
wire VAR54;
wire [31:0] VAR31;
wire VAR145;
wire [1:0] VAR121;
wire VAR174;
wire [31:0] VAR158;
wire VAR132;
wire [3:0] VAR117;
wire VAR141;
wire VAR58;
wire VAR72;
wire VAR105;
wire VAR140;
wire VAR181;
wire VAR57;
wire [1:0]VAR190;
wire VAR185;
wire VAR94;
wire VAR78;
wire VAR6;
wire VAR131;
wire VAR115;
wire VAR29;
wire VAR75;
wire VAR194;
wire VAR71;
wire VAR162;
wire VAR55;
wire VAR53;
wire VAR52;
wire VAR86;
wire VAR152;
wire VAR170;
wire VAR49;
wire VAR129;
wire VAR59;
wire VAR13;
wire VAR139;
wire VAR50;
wire VAR103;
wire VAR81;
wire VAR5;
wire VAR176;
wire [1:0]VAR147;
assign VAR79 = VAR179;
assign VAR187 = VAR172;
assign VAR36[1:0] = VAR65;
assign VAR188 = VAR135;
assign VAR40[31:0] = VAR186;
assign VAR109[1:0] = VAR159;
assign VAR161 = VAR87;
assign VAR178 = VAR51;
assign VAR195 = VAR97;
assign VAR7 = VAR33;
assign VAR85[1:0] = VAR17;
assign VAR122 = VAR54;
assign VAR112[31:0] = VAR31;
assign VAR113[1:0] = VAR121;
assign VAR20 = VAR174;
assign VAR84 = VAR132;
assign VAR4 = VAR185;
assign VAR69 = VAR78;
assign VAR61 = VAR6;
assign VAR155 = VAR115;
assign VAR165 = VAR29;
assign VAR70 = VAR194;
assign VAR14 = VAR71;
assign VAR123 = VAR55;
assign VAR149 = VAR53;
assign VAR169 = VAR86;
assign VAR111 = VAR152;
assign VAR118 = VAR49;
assign VAR76 = VAR129;
assign VAR60 = VAR13;
assign VAR35 = VAR139;
assign VAR128 = VAR103;
assign VAR127 = VAR81;
assign VAR25 = VAR38[8:0];
assign VAR138 = VAR19;
assign VAR124 = VAR67[8:0];
assign VAR30 = VAR41;
assign VAR130 = VAR116;
assign VAR145 = VAR22;
assign VAR158 = VAR160[31:0];
assign VAR117 = VAR34[3:0];
assign VAR141 = VAR175;
assign VAR136 = VAR27[8:0];
assign VAR11 = VAR142;
assign VAR156 = VAR10[8:0];
assign VAR45 = VAR192;
assign VAR137 = VAR150;
assign VAR107 = VAR26;
assign VAR168 = VAR73[31:0];
assign VAR189 = VAR180[3:0];
assign VAR100 = VAR16;
assign VAR94 = VAR1;
assign VAR131 = VAR143;
assign VAR75 = VAR144;
assign VAR162 = VAR104;
assign VAR52 = VAR93;
assign VAR170 = VAR114;
assign VAR59 = VAR24;
assign VAR50 = VAR46;
assign VAR5 = VAR153;
assign VAR176 = VAR96;
VAR89 VAR99 (
.VAR153 (VAR5),
.VAR120 (VAR25),
.VAR96 (VAR176),
.VAR182 (VAR97),
.VAR183 (VAR138),
.VAR15 (VAR124),
.VAR68 (VAR33),
.VAR106 (VAR30),
.VAR39 (VAR130),
.VAR90 (VAR17),
.VAR28 (VAR54),
.VAR119 (VAR31),
.VAR74 (VAR145),
.VAR177 (VAR121),
.VAR171 (VAR174),
.VAR91 (VAR158),
.VAR157 (VAR132),
.VAR83 (VAR117),
.VAR95 (VAR141)
);
VAR108 VAR47
(.VAR151(VAR190),
.VAR133(VAR185),
.VAR153(VAR5),
.VAR120(VAR136),
.VAR96(VAR176),
.VAR182(VAR179),
.VAR183(VAR11),
.VAR15(VAR156),
.VAR68(VAR172),
.VAR106(VAR45),
.VAR39(VAR137),
.VAR90(VAR65),
.VAR28(VAR135),
.VAR119(VAR186),
.VAR74(VAR107),
.VAR177(VAR159),
.VAR171(VAR87),
.VAR91(VAR168),
.VAR157(VAR51),
.VAR83(VAR189),
.VAR95(VAR100),
.VAR8(VAR58),
.VAR80(VAR72),
.VAR163(VAR105),
.VAR23(VAR140),
.VAR43(VAR181),
.VAR110(VAR57));
VAR92 VAR166
(.VAR62(VAR58),
.VAR125(VAR72),
.VAR148(VAR105),
.VAR44(VAR140),
.VAR56(VAR181),
.VAR134(VAR57),
.VAR2(VAR190),
.VAR98(VAR147),
.VAR3(VAR131),
.VAR146(VAR115),
.VAR32(VAR29),
.VAR21(VAR75),
.VAR191(VAR194),
.VAR102(VAR71),
.VAR48(VAR162),
.VAR193(VAR55),
.VAR12(VAR53),
.VAR82(VAR52),
.VAR64(VAR86),
.VAR42(VAR152),
.VAR77(VAR170),
.VAR63(VAR49),
.VAR173(VAR129),
.VAR88(VAR59),
.VAR9(VAR13),
.VAR126(VAR139),
.VAR184(VAR50),
.VAR154(VAR103),
.VAR164(VAR81),
.VAR18(VAR94),
.VAR66(VAR78),
.VAR101(VAR6));
VAR167 VAR37
(.dout(VAR147));
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/xor3/sky130_fd_sc_lp__xor3.behavioral.pp.v
| 1,845 |
module MODULE1 (
VAR6 ,
VAR13 ,
VAR3 ,
VAR7 ,
VAR2,
VAR10,
VAR1 ,
VAR12
);
output VAR6 ;
input VAR13 ;
input VAR3 ;
input VAR7 ;
input VAR2;
input VAR10;
input VAR1 ;
input VAR12 ;
wire VAR9 ;
wire VAR5;
xor VAR4 (VAR9 , VAR13, VAR3, VAR7 );
VAR8 VAR11 (VAR5, VAR9, VAR2, VAR10);
buf VAR14 (VAR6 , VAR5 );
endmodule
|
apache-2.0
|
domahony/ButtonCount
|
RS232TX.v
| 2,046 |
module MODULE2 (
input clk,
input VAR20,
input [23:0] VAR2,
output VAR18,
output VAR3
);
wire VAR10;
wire[7:0] VAR14 = VAR2[7:0];
MODULE1 MODULE1(
.clk(clk),
.enable(VAR3),
.VAR9(VAR10)
);
reg[3:0] VAR15 = 0;
wire VAR7 = (VAR15==0);
assign VAR3 = ~VAR7;
reg[7:0] VAR16 = 0;
always @(posedge clk)
begin
if (VAR7 & VAR20)
VAR16 <= VAR14;
end
else
if (VAR15[3] & VAR10)
VAR16 <= (VAR16 >> 1);
case(VAR15)
4'b0000: if(VAR20) VAR15 <= 4'b0100; 4'b0100: if (VAR10) VAR15 <= 4'b1000; 4'b1000: if (VAR10) VAR15 <= 4'b1001; 4'b1001: if (VAR10) VAR15 <= 4'b1010; 4'b1010: if (VAR10) VAR15 <= 4'b1011; 4'b1011: if (VAR10) VAR15 <= 4'b1100; 4'b1100: if (VAR10) VAR15 <= 4'b1101; 4'b1101: if (VAR10) VAR15 <= 4'b1110; 4'b1110: if (VAR10) VAR15 <= 4'b1111; 4'b1111: if (VAR10) VAR15 <= 4'b0010; 4'b0010: if (VAR10) VAR15 <= 4'b0011; 4'b0011: if (VAR10) VAR15 <= 4'b0000; default: if (VAR10) VAR15 <= 4'b0000;
endcase
end
assign VAR18 = (VAR15 < 4) | (VAR15[3] & VAR16[0]);
endmodule
module MODULE1(
input clk,
input enable,
output VAR9
);
parameter VAR12 = 50000000;
parameter VAR1 = 115200;
parameter VAR4 = 1;
function integer VAR11(input integer VAR13); begin VAR11=0; while(VAR13>>VAR11) VAR11=VAR11+1; end endfunction
localparam VAR8 = VAR11(VAR12/VAR1)+8; reg [VAR8:0] VAR19 = 0;
localparam VAR6 = VAR11(VAR1*VAR4 >> (31-VAR8)); localparam VAR17 = ((VAR1*VAR4 << (VAR8-VAR6))+(VAR12>>(VAR6+1)))/(VAR12>>VAR6);
end
always @(posedge clk) if(enable) VAR19 <= VAR19[VAR8-1:0] + VAR17[VAR8:0]; else VAR19 <= VAR17[VAR8:0];
assign VAR9 = VAR19[VAR8];
endmodule
|
mit
|
iafnan/es2-hardwaresecurity
|
or1200/rtl/verilog/or1200/or1200_sb.v
| 6,850 |
module MODULE1(
clk, rst,
VAR7, VAR14, VAR30, VAR36, VAR37, VAR9, VAR24,
VAR15, VAR40, VAR41,
VAR13, VAR35, VAR10, VAR39, VAR3, VAR11, VAR26,
VAR31, VAR16, VAR18
);
parameter VAR29 = VAR33;
parameter VAR21 = VAR33;
input clk; input rst;
input [VAR29-1:0] VAR7; input [VAR21-1:0] VAR14; input VAR30; input VAR36; input VAR37; input VAR24; input [3:0] VAR9; output [VAR29-1:0] VAR15; output VAR40; output VAR41;
output [VAR29-1:0] VAR13; output [VAR21-1:0] VAR35; output VAR10; output VAR39; output VAR3; output VAR26; output [3:0] VAR11; input [VAR29-1:0] VAR31; input VAR16; input VAR18;
wire [4+VAR29+VAR21-1:0] VAR2; wire [4+VAR29+VAR21-1:0] VAR5; wire VAR20;
wire VAR8;
wire VAR28;
wire VAR17;
wire VAR22;
reg VAR27;
reg VAR32;
assign VAR2 = {VAR9, VAR7, VAR14};
assign {VAR11, VAR13, VAR35} = VAR22 ? VAR5 : {VAR9, VAR7, VAR14};
assign VAR20 = VAR30 & VAR36 & VAR37 & ~VAR28 & ~VAR32;
assign VAR8 = ~VAR27;
assign VAR15 = VAR31;
assign VAR40 = VAR22 ? VAR32 : VAR16;
assign VAR41 = VAR22 ? 1'b0 : VAR18; assign VAR10 = VAR22 ? VAR27 : VAR30;
assign VAR39 = VAR22 ? VAR27 : VAR36;
assign VAR3 = VAR22 ? 1'b1 : VAR37;
assign VAR26 = VAR22 ? 1'b0 : VAR24;
assign VAR22 = ~VAR17 | (VAR17 & VAR27);
VAR6 VAR6 (
.VAR4(clk),
.VAR25(rst),
.VAR38(VAR2),
.VAR34(VAR20),
.VAR19(VAR8),
.VAR1(VAR5),
.VAR23(VAR28),
.VAR12(VAR17)
);
always @(posedge clk or posedge rst)
if (rst)
VAR27 <= 1'b0;
else if (VAR16)
VAR27 <= 1'b0;
else if (VAR22 | VAR20)
VAR27 <= 1'b1;
always @(posedge clk or posedge rst)
if (rst)
VAR32 <= 1'b0;
else if (VAR20)
VAR32 <= 1'b1;
else
VAR32 <= 1'b0;
assign VAR13 = VAR7;
assign VAR35 = VAR14;
assign VAR10 = VAR30;
assign VAR39 = VAR36;
assign VAR3 = VAR37;
assign VAR26 = VAR24;
assign VAR11 = VAR9;
assign VAR15 = VAR31;
assign VAR40 = VAR16;
assign VAR41 = VAR18;
endmodule
|
gpl-3.0
|
m-labs/milkymist
|
cores/softusb/rtl/softusb_hostif.v
| 1,830 |
module MODULE1 #(
parameter VAR6 = 4'h0,
parameter VAR15 = 12
) (
input VAR17,
input VAR20,
input VAR14,
output reg VAR4,
input [13:0] VAR7,
input VAR8,
input [31:0] VAR11,
output reg [31:0] VAR3,
output irq,
input VAR9,
input [5:0] VAR18,
input [VAR15-1:0] VAR10
);
wire VAR5 = VAR7[13:10] == VAR6;
reg VAR1;
always @(posedge VAR17) begin
if(VAR20) begin
VAR1 <= 1'b1;
VAR3 <= 1'b0;
end else begin
VAR3 <= 1'b0;
if(VAR5) begin
if(VAR8)
VAR1 <= VAR11[0];
VAR3 <= { VAR10, 1'b0 };
end
end
end
reg VAR19;
always @(posedge VAR14) begin
VAR19 <= VAR1;
VAR4 <= VAR19;
end
reg VAR13;
always @(posedge VAR14) begin
if(VAR4)
VAR13 <= 1'b0;
end
else if(VAR9 && (VAR18 == 6'h15))
VAR13 <= ~VAR13;
end
reg VAR2;
reg VAR16;
reg VAR12;
always @(posedge VAR17) begin
VAR2 <= VAR13;
VAR16 <= VAR2;
VAR12 <= VAR16;
end
assign irq = VAR16 != VAR12;
endmodule
|
lgpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/diode/sky130_fd_sc_hs__diode.pp.blackbox.v
| 1,226 |
module MODULE1 (
VAR5,
VAR4 ,
VAR1 ,
VAR3 ,
VAR2
);
input VAR5;
input VAR4 ;
input VAR1 ;
input VAR3 ;
input VAR2 ;
endmodule
|
apache-2.0
|
hoglet67/CoPro6502
|
src/Tube/ph_byte.v
| 2,411 |
module MODULE1 (
input VAR24,
input VAR2,
input VAR15,
input VAR9,
input [7:0] VAR10,
input VAR21,
input VAR3,
input VAR11,
output [7:0] VAR20,
output VAR23,
output VAR4
);
reg [7:0] VAR6 ;
wire [7:0] VAR12 ;
assign VAR20 = VAR6;
assign VAR12 = ( VAR21 & !VAR11) ? VAR10 : VAR6;
VAR8 VAR16 (
.VAR5(VAR24),
.VAR13(VAR2),
.VAR14(VAR15),
.VAR18(VAR9),
.VAR22(VAR21),
.VAR1(VAR11),
.VAR7(VAR3),
.VAR19(VAR23),
.VAR17(VAR4)
);
always @ ( posedge VAR3 or negedge VAR24 )
begin
if ( ! VAR24)
VAR6 <= 8'h41;
end
else
VAR6 <= VAR12 ;
end
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hdll
|
cells/o21bai/sky130_fd_sc_hdll__o21bai.blackbox.v
| 1,397 |
module MODULE1 (
VAR5 ,
VAR4 ,
VAR1 ,
VAR3
);
output VAR5 ;
input VAR4 ;
input VAR1 ;
input VAR3;
supply1 VAR2;
supply0 VAR7;
supply1 VAR6 ;
supply0 VAR8 ;
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hvl
|
cells/buf/sky130_fd_sc_hvl__buf_32.v
| 2,007 |
module MODULE2 (
VAR5 ,
VAR7 ,
VAR3,
VAR1,
VAR4 ,
VAR2
);
output VAR5 ;
input VAR7 ;
input VAR3;
input VAR1;
input VAR4 ;
input VAR2 ;
VAR8 VAR6 (
.VAR5(VAR5),
.VAR7(VAR7),
.VAR3(VAR3),
.VAR1(VAR1),
.VAR4(VAR4),
.VAR2(VAR2)
);
endmodule
module MODULE2 (
VAR5,
VAR7
);
output VAR5;
input VAR7;
supply1 VAR3;
supply0 VAR1;
supply1 VAR4 ;
supply0 VAR2 ;
VAR8 VAR6 (
.VAR5(VAR5),
.VAR7(VAR7)
);
endmodule
|
apache-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
|
cells/addh/gf180mcu_fd_sc_mcu9t5v0__addh_4.behavioral.v
| 1,502 |
module MODULE1( VAR4, VAR2, VAR7, VAR3 );
input VAR4, VAR2;
output VAR7, VAR3;
VAR5 VAR6(.VAR4(VAR4),.VAR2(VAR2),.VAR7(VAR7),.VAR3(VAR3));
VAR5 VAR1(.VAR4(VAR4),.VAR2(VAR2),.VAR7(VAR7),.VAR3(VAR3));
|
apache-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
|
cells/bufz/gf180mcu_fd_sc_mcu7t5v0__bufz_8.behavioral.v
| 1,170 |
module MODULE1( VAR2, VAR4, VAR6 );
input VAR2, VAR4;
output VAR6;
VAR5 VAR3(.VAR2(VAR2),.VAR4(VAR4),.VAR6(VAR6));
VAR5 VAR1(.VAR2(VAR2),.VAR4(VAR4),.VAR6(VAR6));
|
apache-2.0
|
SI-RISCV/e200_opensource
|
rtl/e203/perips/sirv_aon_porrst.v
| 1,749 |
module MODULE1(
output VAR1
);
endmodule
|
apache-2.0
|
dagrende/rpistepper
|
spi_slave.v
| 1,706 |
module MODULE1(input clk, input rst, input VAR8, input VAR25, output VAR2, input VAR23, output VAR24, input [VAR18-1:0] din, output [VAR18-1:0] dout);
parameter VAR18=8; parameter VAR17 = 6;
reg VAR15, VAR14;
reg VAR1, VAR19;
reg VAR3, VAR4;
reg VAR20, VAR22;
reg [VAR18-1:0] VAR6, VAR21;
reg VAR7, VAR11;
reg [VAR17-1:0] VAR12, VAR9;
reg [VAR18-1:0] VAR10, VAR5;
reg VAR13, VAR16;
assign VAR2 = VAR16;
assign VAR24 = VAR11;
assign dout = VAR5;
always @(*) begin
VAR1 = VAR8;
VAR15 = VAR25;
VAR13 = VAR16;
VAR3 = VAR23;
VAR20 = VAR4;
VAR6 = VAR21;
VAR7 = 1'b0;
VAR12 = VAR9;
VAR10 = VAR5;
if (VAR19) begin VAR12 = 0; VAR6 = din; VAR13 = VAR21[VAR18-1]; end else begin if (!VAR22 && VAR4) begin VAR6 = {VAR21[VAR18-2:0], VAR14}; VAR12 = VAR9 + 1'b1; if (VAR9 == VAR18-1) begin VAR10 = {VAR21[VAR18-2:0], VAR14}; VAR7 = 1'b1; VAR6 = din; end
end else if (VAR22 && !VAR4) begin VAR13 = VAR21[VAR18-1]; end
end
end
always @(posedge clk) begin
if (rst) begin
VAR11 <= 1'b0;
VAR9 <= 3'b0;
VAR5 <= 8'b0;
VAR16 <= 1'b1;
end else begin
VAR11 <= VAR7;
VAR9 <= VAR12;
VAR5 <= VAR10;
VAR16 <= VAR13;
end
VAR4 <= VAR3;
VAR14 <= VAR15;
VAR19 <= VAR1;
VAR21 <= VAR6;
VAR22 <= VAR20;
end
endmodule
|
lgpl-3.0
|
olgirard/opengfx430
|
core/rtl/verilog/interfaces/ogfx_if_lt24_reg.v
| 26,856 |
module MODULE1 (
VAR6, VAR25,
VAR102, VAR49, VAR100, VAR57, VAR21, VAR124, VAR73, VAR20, VAR28, VAR12, VAR115, VAR103, VAR10,
VAR129, VAR51, VAR7, VAR97, VAR35,
VAR40,
VAR114, VAR107,
VAR99, VAR52, VAR64, VAR62,
VAR63, VAR5, VAR33, VAR127, VAR121,
VAR44, VAR22, VAR8, VAR27 );
parameter [14:0] VAR113 = 15'h0280; output VAR6; output VAR25;
output VAR102; output VAR49; output [2:0] VAR100; output [14:0] VAR57; output VAR21; output [9:0] VAR124; output VAR73; output [7:0] VAR20; output VAR28; output [15:0] VAR12; output VAR115; output [15:0] VAR103; output VAR10;
output VAR129; output [7:0] VAR51; output VAR7; output VAR97; output VAR35;
output [15:0] VAR40;
input VAR114; input VAR107;
input [4:0] VAR99; input VAR52; input VAR64; input VAR62;
input VAR63; input [11:0] VAR5; input [11:0] VAR33; input [8:0] VAR127; input [8:0] VAR121;
input [13:0] VAR44; input [15:0] VAR22; input VAR8; input [1:0] VAR27;
parameter VAR72 = 5;
parameter [VAR72-1:0] VAR92 = 'h00, VAR122 = 'h02,
VAR38 = 'h04,
VAR76 = 'h06,
VAR31 = 'h08,
VAR65 = 'h0A,
VAR125 = 'h0C,
VAR54 = 'h0E,
VAR117 = 'h10,
VAR82 = 'h12,
VAR108 = 'h14,
VAR87 = 'h16,
VAR3 = 'h18,
VAR14 = 'h1A;
parameter VAR37 = (1 << VAR72);
parameter [VAR37-1:0] VAR9 = {{VAR37-1{1'b0}}, 1'b1};
parameter [VAR37-1:0] VAR1 = (VAR9 << VAR92 ),
VAR53 = (VAR9 << VAR122 ),
VAR19 = (VAR9 << VAR38 ),
VAR60 = (VAR9 << VAR76 ),
VAR24 = (VAR9 << VAR31 ),
VAR55 = (VAR9 << VAR65 ),
VAR130 = (VAR9 << VAR125 ),
VAR18 = (VAR9 << VAR54 ),
VAR68 = (VAR9 << VAR117 ),
VAR36 = (VAR9 << VAR82 ),
VAR15 = (VAR9 << VAR108 ),
VAR45 = (VAR9 << VAR87 ),
VAR126 = (VAR9 << VAR3 ),
VAR106 = (VAR9 << VAR14 );
wire VAR56 = VAR8 & (VAR44[13:VAR72-1]==VAR113[14:VAR72]);
wire [VAR72-1:0] VAR32 = {VAR44[VAR72-2:0], 1'b0};
wire [VAR37-1:0] VAR47 = (VAR1 & {VAR37{(VAR32 == VAR92 )}}) |
(VAR53 & {VAR37{(VAR32 == VAR122 )}}) |
(VAR19 & {VAR37{(VAR32 == VAR38 )}}) |
(VAR60 & {VAR37{(VAR32 == VAR76 )}}) |
(VAR24 & {VAR37{(VAR32 == VAR31 )}}) |
(VAR55 & {VAR37{(VAR32 == VAR65 )}}) |
(VAR130 & {VAR37{(VAR32 == VAR125 )}}) |
(VAR18 & {VAR37{(VAR32 == VAR54 )}}) |
(VAR68 & {VAR37{(VAR32 == VAR117 )}}) |
(VAR36 & {VAR37{(VAR32 == VAR82 )}}) |
(VAR15 & {VAR37{(VAR32 == VAR108 )}}) |
(VAR45 & {VAR37{(VAR32 == VAR87 )}}) |
(VAR126 & {VAR37{(VAR32 == VAR3 )}}) |
(VAR106 & {VAR37{(VAR32 == VAR14 )}}) ;
wire VAR80 = |VAR27 & VAR56;
wire VAR111 = ~|VAR27 & VAR56;
wire [VAR37-1:0] VAR58 = VAR47 & {VAR37{VAR80}};
wire [VAR37-1:0] VAR83 = VAR47 & {VAR37{VAR111}};
reg [15:0] VAR69;
wire VAR77 = VAR58[VAR92];
always @ (posedge VAR114 or posedge VAR107)
if (VAR107) VAR69 <= 16'h0000;
else if (VAR77) VAR69 <= VAR22 & 16'hE07F;
wire VAR93 = VAR69[15];
wire VAR91 = VAR69[14];
wire VAR95 = VAR69[13];
assign VAR100 = VAR69[6:4];
assign VAR102 = ~VAR69[1];
assign VAR49 = VAR69[0];
reg VAR73;
reg [14:0] VAR57;
wire VAR39 = VAR58[VAR122];
wire VAR4 = VAR64 & VAR99[2] & (VAR57==15'h0000);
always @ (posedge VAR114 or posedge VAR107)
if (VAR107) VAR73 <= 1'h0;
else if (VAR39) VAR73 <= VAR22[0];
else if (VAR4) VAR73 <= 1'h0;
always @ (posedge VAR114 or posedge VAR107)
if (VAR107) VAR57 <= 15'h0000;
else if (VAR39) VAR57 <= VAR22[15:1];
wire [15:0] VAR78 = {VAR57, VAR73};
reg VAR21;
reg [9:0] VAR124;
wire VAR118 = VAR58[VAR38];
always @ (posedge VAR114 or posedge VAR107)
if (VAR107) VAR21 <= 1'h0;
else if (VAR118) VAR21 <= VAR22[15];
always @ (posedge VAR114 or posedge VAR107)
if (VAR107) VAR124 <= 10'h000;
else if (VAR118) VAR124 <= VAR22[9:0];
wire [15:0] VAR75 = {VAR21, 5'h00, VAR124};
reg [15:0] VAR86;
wire VAR110 = VAR58[VAR76];
always @ (posedge VAR114 or posedge VAR107)
if (VAR107) VAR86 <= 16'h0000;
else if (VAR110) VAR86 <= VAR22 & 16'h01FF;
assign VAR20 = VAR86[7:0];
assign VAR28 = VAR86[8];
reg [15:0] VAR12;
wire VAR48 = VAR58[VAR31];
always @ (posedge VAR114 or posedge VAR107)
if (VAR107) VAR12 <= 16'h0000;
else if (VAR48) VAR12 <= VAR22;
reg VAR115;
always @ (posedge VAR114 or posedge VAR107)
if (VAR107) VAR115 <= 1'b0;
else VAR115 <= VAR48;
reg [15:0] VAR103;
assign VAR10 = VAR58[VAR65];
always @ (posedge VAR114 or posedge VAR107)
if (VAR107) VAR103 <= 16'h0000;
else if (VAR10) VAR103 <= VAR22;
wire [15:0] VAR101;
assign VAR101[0] = VAR99[0]; assign VAR101[1] = VAR99[1]; assign VAR101[2] = VAR99[2]; assign VAR101[3] = VAR99[3]; assign VAR101[4] = VAR99[4]; assign VAR101[15:5] = 11'h000;
wire [15:0] VAR123;
wire VAR104 = VAR22[15] & VAR58[VAR54];
wire VAR132 = VAR64;
wire VAR71 = VAR22[14] & VAR58[VAR54];
wire VAR66 = VAR52;
wire VAR46 = VAR22[13] & VAR58[VAR54];
wire VAR61 = VAR62;
reg VAR74;
reg VAR109;
reg VAR105;
always @ (posedge VAR114 or posedge VAR107)
if (VAR107)
begin
VAR74 <= 1'b0;
VAR109 <= 1'b0;
VAR105 <= 1'b0;
end
else
begin
VAR74 <= ( VAR132 | (~VAR104 & VAR74 ) ); VAR109 <= ( VAR66 | (~VAR71 & VAR109) ); VAR105 <= ( VAR61 | (~VAR46 & VAR105) ); end
assign VAR123 = {VAR74, VAR109, VAR105, 13'h0000};
assign VAR25 = (VAR74 & VAR93) |
(VAR109 & VAR91) |
(VAR105 & VAR95) ;
reg [15:0] VAR88;
wire VAR119 = VAR58[VAR117];
always @ (posedge VAR114 or posedge VAR107)
if (VAR107) VAR88 <= 16'h0000;
else if (VAR119) VAR88 <= VAR22 & 16'h9EFF;
wire VAR50 = VAR88[15];
assign VAR129 = VAR88[12];
assign VAR97 = VAR88[11];
assign VAR7 = VAR88[10];
assign VAR35 = VAR88[ 9];
assign VAR51 = VAR88[7:0];
wire [15:0] VAR11;
wire VAR43 = VAR22[15] & VAR58[VAR82];
wire VAR2 = VAR63;
reg VAR81;
always @ (posedge VAR114 or posedge VAR107)
if (VAR107)
begin
VAR81 <= 1'b0;
end
else
begin
VAR81 <= ( VAR2 | (~VAR43 & VAR81 ) ); end
assign VAR11 = {VAR81, 15'h0000};
assign VAR6 = (VAR81 & VAR50);
reg VAR16;
wire VAR112;
always @ (posedge VAR114 or posedge VAR107)
if (VAR107) VAR16 <= 1'b0;
else if (VAR63) VAR16 <= 1'b1;
else if (VAR112) VAR16 <= 1'b0;
reg [23:0] VAR23;
always @ (posedge VAR114 or posedge VAR107)
if (VAR107) VAR23 <= 24'h000000;
else if (VAR63) VAR23 <= {VAR33, VAR5};
reg [1:0] VAR30;
always @ (posedge VAR114 or posedge VAR107)
if (VAR107) VAR30 <= 2'h3;
else if (VAR112) VAR30 <= 2'h0;
else if (VAR83[VAR108]) VAR30 <= VAR30 | 2'h1;
else if (VAR83[VAR87]) VAR30 <= VAR30 | 2'h2;
assign VAR112 = (VAR30==2'h3) & VAR16;
reg [15:0] VAR29;
reg [15:0] VAR89;
always @ (posedge VAR114 or posedge VAR107)
if (VAR107) VAR29 <= 16'h0000;
else if (VAR112) VAR29 <= {4'h0, VAR23[11:0]};
always @ (posedge VAR114 or posedge VAR107)
if (VAR107) VAR89 <= 16'h0000;
else if (VAR112) VAR89 <= {4'h0, VAR23[23:12]};
reg VAR90;
wire VAR85;
always @ (posedge VAR114 or posedge VAR107)
if (VAR107) VAR90 <= 1'b0;
else if (VAR63) VAR90 <= 1'b1;
else if (VAR85) VAR90 <= 1'b0;
reg [17:0] VAR70;
always @ (posedge VAR114 or posedge VAR107)
if (VAR107) VAR70 <= 18'h00000;
else if (VAR63) VAR70 <= {VAR121, VAR127};
reg [1:0] VAR98;
always @ (posedge VAR114 or posedge VAR107)
if (VAR107) VAR98 <= 2'h3;
else if (VAR85) VAR98 <= 2'h0;
else if (VAR83[VAR3]) VAR98 <= VAR98 | 2'h1;
else if (VAR83[VAR14]) VAR98 <= VAR98 | 2'h2;
assign VAR85 = (VAR98==2'h3) & VAR90;
reg [15:0] VAR94;
reg [15:0] VAR116;
always @ (posedge VAR114 or posedge VAR107)
if (VAR107) VAR94 <= 16'h0000;
else if (VAR85) VAR94 <= {7'h00, VAR70[8:0]};
always @ (posedge VAR114 or posedge VAR107)
if (VAR107) VAR116 <= 16'h0000;
else if (VAR85) VAR116 <= {7'h00, VAR70[17:9]};
wire [15:0] VAR79 = VAR69 & {16{VAR83[VAR92 ]}};
wire [15:0] VAR13 = VAR78 & {16{VAR83[VAR122 ]}};
wire [15:0] VAR34 = VAR75 & {16{VAR83[VAR38]}};
wire [15:0] VAR131 = VAR86 & {16{VAR83[VAR76 ]}};
wire [15:0] VAR17 = VAR12 & {16{VAR83[VAR31 ]}};
wire [15:0] VAR67 = VAR103 & {16{VAR83[VAR65 ]}};
wire [15:0] VAR42 = VAR101 & {16{VAR83[VAR125 ]}};
wire [15:0] VAR26 = VAR123 & {16{VAR83[VAR54 ]}};
wire [15:0] VAR84 = VAR88 & {16{VAR83[VAR117 ]}};
wire [15:0] VAR120 = VAR11 & {16{VAR83[VAR82 ]}};
wire [15:0] VAR59 = VAR29 & {16{VAR83[VAR108 ]}};
wire [15:0] VAR128 = VAR89 & {16{VAR83[VAR87 ]}};
wire [15:0] VAR96 = VAR94 & {16{VAR83[VAR3 ]}};
wire [15:0] VAR41 = VAR116 & {16{VAR83[VAR14 ]}};
wire [15:0] VAR40 = VAR79 |
VAR13 |
VAR34 |
VAR131 |
VAR17 |
VAR67 |
VAR42 |
VAR26 |
VAR84 |
VAR120 |
VAR59 |
VAR128 |
VAR96 |
VAR41 ;
endmodule
|
bsd-3-clause
|
Dennis-Chhun/Pong-Game
|
VGAFrequency/VGAFrequency_bb.v
| 11,153 |
module MODULE1 (
VAR2,
VAR1,
VAR3);
input VAR2;
input VAR1;
output VAR3;
tri0 VAR2;
endmodule
|
mit
|
google/skywater-pdk-libs-sky130_fd_sc_lp
|
cells/dlrbn/sky130_fd_sc_lp__dlrbn_1.v
| 2,480 |
module MODULE2 (
VAR2 ,
VAR11 ,
VAR1,
VAR8 ,
VAR6 ,
VAR4 ,
VAR10 ,
VAR5 ,
VAR3
);
output VAR2 ;
output VAR11 ;
input VAR1;
input VAR8 ;
input VAR6 ;
input VAR4 ;
input VAR10 ;
input VAR5 ;
input VAR3 ;
VAR7 VAR9 (
.VAR2(VAR2),
.VAR11(VAR11),
.VAR1(VAR1),
.VAR8(VAR8),
.VAR6(VAR6),
.VAR4(VAR4),
.VAR10(VAR10),
.VAR5(VAR5),
.VAR3(VAR3)
);
endmodule
module MODULE2 (
VAR2 ,
VAR11 ,
VAR1,
VAR8 ,
VAR6
);
output VAR2 ;
output VAR11 ;
input VAR1;
input VAR8 ;
input VAR6 ;
supply1 VAR4;
supply0 VAR10;
supply1 VAR5 ;
supply0 VAR3 ;
VAR7 VAR9 (
.VAR2(VAR2),
.VAR11(VAR11),
.VAR1(VAR1),
.VAR8(VAR8),
.VAR6(VAR6)
);
endmodule
|
apache-2.0
|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
|
cells/mux4/gf180mcu_fd_sc_mcu7t5v0__mux4_1.behavioral.pp.v
| 8,324 |
module MODULE1( VAR6, VAR12, VAR9, VAR4, VAR8, VAR5, VAR1, VAR7, VAR11 );
input VAR1, VAR5, VAR6, VAR9, VAR12, VAR8;
inout VAR7, VAR11;
output VAR4;
VAR2 VAR3(.VAR6(VAR6),.VAR12(VAR12),.VAR9(VAR9),.VAR4(VAR4),.VAR8(VAR8),.VAR5(VAR5),.VAR1(VAR1),.VAR7(VAR7),.VAR11(VAR11));
VAR2 VAR10(.VAR6(VAR6),.VAR12(VAR12),.VAR9(VAR9),.VAR4(VAR4),.VAR8(VAR8),.VAR5(VAR5),.VAR1(VAR1),.VAR7(VAR7),.VAR11(VAR11));
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
models/udp_dlatch_pr_pp_pg/sky130_fd_sc_hs__udp_dlatch_pr_pp_pg.symbol.v
| 1,457 |
module MODULE1 (
input VAR6 ,
output VAR4 ,
input VAR3,
input VAR2 ,
input VAR1 ,
input VAR5
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/a21boi/sky130_fd_sc_hs__a21boi_4.v
| 2,205 |
module MODULE2 (
VAR8 ,
VAR2 ,
VAR1 ,
VAR4,
VAR7,
VAR6
);
output VAR8 ;
input VAR2 ;
input VAR1 ;
input VAR4;
input VAR7;
input VAR6;
VAR5 VAR3 (
.VAR8(VAR8),
.VAR2(VAR2),
.VAR1(VAR1),
.VAR4(VAR4),
.VAR7(VAR7),
.VAR6(VAR6)
);
endmodule
module MODULE2 (
VAR8 ,
VAR2 ,
VAR1 ,
VAR4
);
output VAR8 ;
input VAR2 ;
input VAR1 ;
input VAR4;
supply1 VAR7;
supply0 VAR6;
VAR5 VAR3 (
.VAR8(VAR8),
.VAR2(VAR2),
.VAR1(VAR1),
.VAR4(VAR4)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_hd
|
cells/a22oi/sky130_fd_sc_hd__a22oi.pp.blackbox.v
| 1,393 |
module MODULE1 (
VAR3 ,
VAR5 ,
VAR4 ,
VAR2 ,
VAR8 ,
VAR1,
VAR7,
VAR6 ,
VAR9
);
output VAR3 ;
input VAR5 ;
input VAR4 ;
input VAR2 ;
input VAR8 ;
input VAR1;
input VAR7;
input VAR6 ;
input VAR9 ;
endmodule
|
apache-2.0
|
smithe0/GestureControlInterface
|
DE2Component_FLASH/niosII_system/synthesis/submodules/niosII_system_tristate_conduit_pin_sharer_0.v
| 7,593 |
module MODULE1 (
input wire VAR33, input wire VAR9, output wire request, input wire VAR8, output wire [0:0] VAR7, output wire [21:0] VAR10, output wire [0:0] VAR31, output wire [0:0] VAR1, output wire [7:0] VAR17, input wire [7:0] VAR29, output wire VAR4, output wire [0:0] VAR2, output wire [0:0] VAR24, input wire VAR35, output wire VAR16, input wire [0:0] VAR19, input wire [21:0] VAR36, input wire [0:0] VAR5, input wire [0:0] VAR18, input wire [7:0] VAR32, output wire [7:0] VAR14, input wire VAR20, input wire [0:0] VAR12, input wire [0:0] VAR34 );
wire [0:0] VAR6; wire VAR21; wire VAR39;
VAR26 VAR25 (
.clk (VAR33), .reset (VAR9), .request (request), .VAR8 (VAR8), .VAR7 (VAR7), .VAR10 (VAR10), .VAR31 (VAR31), .VAR1 (VAR1), .VAR17 (VAR17), .VAR29 (VAR29), .VAR4 (VAR4), .VAR2 (VAR2), .VAR24 (VAR24), .VAR35 (VAR35), .VAR16 (VAR16), .VAR40 (VAR19), .VAR15 (VAR36), .VAR27 (VAR5), .VAR28 (VAR18), .VAR41 (VAR32), .VAR38 (VAR14), .VAR11 (VAR20), .VAR30 (VAR12), .VAR42 (VAR34), .ack (VAR21), .VAR37 (VAR6), .VAR22 (VAR39) );
VAR3 VAR23 (
.clk (VAR33), .reset (VAR9), .ack (VAR21), .VAR37 (VAR6), .VAR13 (VAR39) );
endmodule
|
apache-2.0
|
hoglet67/CoPro6502
|
src/Tube/ph_fifo_core_spartan6.v
| 13,728 |
module MODULE1(
rst,
VAR310,
VAR45,
din,
VAR156,
VAR48,
dout,
VAR29,
VAR291
);
input rst;
input VAR310;
input VAR45;
input [7 : 0] din;
input VAR156;
input VAR48;
output [7 : 0] dout;
output VAR29;
output VAR291;
VAR405 #(
.VAR369(0),
.VAR125(0),
.VAR354(0),
.VAR116(0),
.VAR299(0),
.VAR398(0),
.VAR147(0),
.VAR217(32),
.VAR130(1),
.VAR101(1),
.VAR360(1),
.VAR142(64),
.VAR318(4),
.VAR6(1),
.VAR14(0),
.VAR241(1),
.VAR76(64),
.VAR167(4),
.VAR226(8),
.VAR63(4),
.VAR163(4),
.VAR419(4),
.VAR338(0),
.VAR339(0),
.VAR264(0),
.VAR403(5),
.VAR127("VAR41"),
.VAR361(8),
.VAR268(1),
.VAR283(32),
.VAR91(64),
.VAR279(32),
.VAR325(64),
.VAR274(2),
.VAR37("VAR413"),
.VAR110(8),
.VAR160(0),
.VAR245(1),
.VAR303(0),
.VAR119(0),
.VAR56(0),
.VAR18(0),
.VAR193(0),
.VAR203(0),
.VAR400(0),
.VAR375("VAR204"),
.VAR352(0),
.VAR15(0),
.VAR66(0),
.VAR219(0),
.VAR24(0),
.VAR126(0),
.VAR62(0),
.VAR269(0),
.VAR341(0),
.VAR390(0),
.VAR333(0),
.VAR186(0),
.VAR397(0),
.VAR11(0),
.VAR351(0),
.VAR343(1),
.VAR197(0),
.VAR47(0),
.VAR259(0),
.VAR88(0),
.VAR202(0),
.VAR82(0),
.VAR19(0),
.VAR83(0),
.VAR102(0),
.VAR278(0),
.VAR280(0),
.VAR272(0),
.VAR61(0),
.VAR201(0),
.VAR200(0),
.VAR224(0),
.VAR65(0),
.VAR255(0),
.VAR94(0),
.VAR40(0),
.VAR133(0),
.VAR171(0),
.VAR77(1),
.VAR159(0),
.VAR328(0),
.VAR220(0),
.VAR242(0),
.VAR122(0),
.VAR49(0),
.VAR233(0),
.VAR53(2),
.VAR214(1),
.VAR377(1),
.VAR182(1),
.VAR346(1),
.VAR345(1),
.VAR359(1),
.VAR153(0),
.VAR104(0),
.VAR95(2),
.VAR382("VAR41"),
.VAR132(1),
.VAR366(0),
.VAR316(0),
.VAR356(0),
.VAR386(1),
.VAR258("512x36"),
.VAR244(4),
.VAR297(1022),
.VAR131(1022),
.VAR249(1022),
.VAR261(1022),
.VAR151(1022),
.VAR99(1022),
.VAR179(5),
.VAR402(0),
.VAR221(0),
.VAR74(0),
.VAR275(0),
.VAR124(0),
.VAR23(0),
.VAR322(0),
.VAR114(31),
.VAR285(1023),
.VAR36(1023),
.VAR236(1023),
.VAR1(1023),
.VAR81(1023),
.VAR112(1023),
.VAR92(30),
.VAR307(0),
.VAR155(0),
.VAR372(0),
.VAR260(0),
.VAR265(0),
.VAR227(0),
.VAR137(0),
.VAR113(0),
.VAR309(5),
.VAR12(32),
.VAR69(1),
.VAR90(5),
.VAR28(0),
.VAR169(0),
.VAR148(0),
.VAR313(0),
.VAR353(0),
.VAR198(0),
.VAR334(0),
.VAR415(2),
.VAR294(0),
.VAR311(0),
.VAR129(0),
.VAR357(0),
.VAR389(1),
.VAR235(0),
.VAR168(0),
.VAR247(0),
.VAR340(0),
.VAR5(0),
.VAR176(0),
.VAR158(0),
.VAR277(0),
.VAR52(0),
.VAR254(0),
.VAR250(0),
.VAR192(0),
.VAR84(0),
.VAR240(0),
.VAR350(5),
.VAR418(32),
.VAR136(1024),
.VAR428(16),
.VAR385(1024),
.VAR55(16),
.VAR213(1024),
.VAR30(16),
.VAR105(1),
.VAR98(5),
.VAR306(10),
.VAR248(4),
.VAR140(10),
.VAR251(4),
.VAR42(10),
.VAR181(4),
.VAR222(1),
.VAR187(0)
)
VAR301 (
.VAR323(rst),
.VAR409(VAR310),
.VAR365(VAR45),
.VAR273(din),
.VAR270(VAR156),
.VAR232(VAR48),
.VAR9(dout),
.VAR25(VAR29),
.VAR89(VAR291),
.VAR367(),
.VAR421(),
.VAR2(),
.VAR199(),
.VAR344(),
.VAR109(),
.VAR243(),
.VAR218(),
.VAR174(),
.VAR184(),
.VAR228(),
.VAR162(),
.VAR393(),
.VAR194(),
.VAR289(),
.VAR253(),
.VAR336(),
.VAR302(),
.VAR281(),
.VAR150(),
.VAR50(),
.VAR373(),
.VAR209(),
.VAR121(),
.VAR60(),
.VAR246(),
.VAR355(),
.VAR38(),
.VAR154(),
.VAR347(),
.VAR79(),
.VAR34(),
.VAR282(),
.VAR223(),
.VAR231(),
.VAR87(),
.VAR205(),
.VAR374(),
.VAR20(),
.VAR178(),
.VAR329(),
.VAR13(),
.VAR134(),
.VAR327(),
.VAR315(),
.VAR35(),
.VAR391(),
.VAR284(),
.VAR170(),
.VAR64(),
.VAR225(),
.VAR417(),
.VAR172(),
.VAR234(),
.VAR425(),
.VAR293(),
.VAR395(),
.VAR348(),
.VAR58(),
.VAR324(),
.VAR97(),
.VAR190(),
.VAR381(),
.VAR370(),
.VAR27(),
.VAR300(),
.VAR229(),
.VAR96(),
.VAR106(),
.VAR68(),
.VAR252(),
.VAR314(),
.VAR292(),
.VAR414(),
.VAR7(),
.VAR308(),
.VAR416(),
.VAR123(),
.VAR78(),
.VAR288(),
.VAR358(),
.VAR177(),
.VAR164(),
.VAR10(),
.VAR207(),
.VAR46(),
.VAR70(),
.VAR100(),
.VAR312(),
.VAR238(),
.VAR286(),
.VAR321(),
.VAR263(),
.VAR152(),
.VAR330(),
.VAR271(),
.VAR296(),
.VAR143(),
.VAR266(),
.VAR31(),
.VAR33(),
.VAR139(),
.VAR39(),
.VAR376(),
.VAR399(),
.VAR75(),
.VAR404(),
.VAR290(),
.VAR337(),
.VAR208(),
.VAR196(),
.VAR396(),
.VAR317(),
.VAR188(),
.VAR51(),
.VAR138(),
.VAR206(),
.VAR32(),
.VAR59(),
.VAR257(),
.VAR371(),
.VAR189(),
.VAR426(),
.VAR165(),
.VAR237(),
.VAR411(),
.VAR320(),
.VAR54(),
.VAR256(),
.VAR331(),
.VAR93(),
.VAR406(),
.VAR141(),
.VAR408(),
.VAR422(),
.VAR262(),
.VAR120(),
.VAR67(),
.VAR80(),
.VAR239(),
.VAR21(),
.VAR191(),
.VAR4(),
.VAR332(),
.VAR180(),
.VAR145(),
.VAR387(),
.VAR383(),
.VAR157(),
.VAR3(),
.VAR149(),
.VAR380(),
.VAR57(),
.VAR388(),
.VAR298(),
.VAR22(),
.VAR166(),
.VAR185(),
.VAR276(),
.VAR326(),
.VAR379(),
.VAR44(),
.VAR117(),
.VAR212(),
.VAR319(),
.VAR349(),
.VAR107(),
.VAR115(),
.VAR368(),
.VAR230(),
.VAR423(),
.VAR378(),
.VAR407(),
.VAR8(),
.VAR412(),
.VAR43(),
.VAR173(),
.VAR427(),
.VAR128(),
.VAR305(),
.VAR424(),
.VAR135(),
.VAR392(),
.VAR71(),
.VAR103(),
.VAR17(),
.VAR111(),
.VAR295(),
.VAR362(),
.VAR216(),
.VAR73(),
.VAR161(),
.VAR16(),
.VAR401(),
.VAR335(),
.VAR86(),
.VAR144(),
.VAR108(),
.VAR364(),
.VAR118(),
.VAR410(),
.VAR210(),
.VAR384(),
.VAR287(),
.VAR342(),
.VAR394(),
.VAR85(),
.VAR267(),
.VAR195(),
.VAR175(),
.VAR420(),
.VAR26(),
.VAR211(),
.VAR304(),
.VAR363(),
.VAR72(),
.VAR215(),
.VAR146(),
.VAR183()
);
endmodule
|
gpl-3.0
|
google/skywater-pdk-libs-sky130_fd_sc_hs
|
cells/sdfbbp/sky130_fd_sc_hs__sdfbbp_1.v
| 2,682 |
module MODULE1 (
VAR6 ,
VAR8 ,
VAR10 ,
VAR7 ,
VAR12 ,
VAR11 ,
VAR3 ,
VAR4,
VAR9 ,
VAR2
);
output VAR6 ;
output VAR8 ;
input VAR10 ;
input VAR7 ;
input VAR12 ;
input VAR11 ;
input VAR3 ;
input VAR4;
input VAR9 ;
input VAR2 ;
VAR5 VAR1 (
.VAR6(VAR6),
.VAR8(VAR8),
.VAR10(VAR10),
.VAR7(VAR7),
.VAR12(VAR12),
.VAR11(VAR11),
.VAR3(VAR3),
.VAR4(VAR4),
.VAR9(VAR9),
.VAR2(VAR2)
);
endmodule
module MODULE1 (
VAR6 ,
VAR8 ,
VAR10 ,
VAR7 ,
VAR12 ,
VAR11 ,
VAR3 ,
VAR4
);
output VAR6 ;
output VAR8 ;
input VAR10 ;
input VAR7 ;
input VAR12 ;
input VAR11 ;
input VAR3 ;
input VAR4;
supply1 VAR9;
supply0 VAR2;
VAR5 VAR1 (
.VAR6(VAR6),
.VAR8(VAR8),
.VAR10(VAR10),
.VAR7(VAR7),
.VAR12(VAR12),
.VAR11(VAR11),
.VAR3(VAR3),
.VAR4(VAR4)
);
endmodule
|
apache-2.0
|
google/skywater-pdk-libs-sky130_fd_sc_ls
|
cells/nor3b/sky130_fd_sc_ls__nor3b.functional.pp.v
| 1,995 |
module MODULE1 (
VAR10 ,
VAR5 ,
VAR12 ,
VAR14 ,
VAR8,
VAR9,
VAR4 ,
VAR7
);
output VAR10 ;
input VAR5 ;
input VAR12 ;
input VAR14 ;
input VAR8;
input VAR9;
input VAR4 ;
input VAR7 ;
wire VAR2 ;
wire VAR6 ;
wire VAR13;
nor VAR16 (VAR2 , VAR5, VAR12 );
and VAR3 (VAR6 , VAR14, VAR2 );
VAR15 VAR11 (VAR13, VAR6, VAR8, VAR9);
buf VAR1 (VAR10 , VAR13 );
endmodule
|
apache-2.0
|
liuyenting/CA-Project
|
src/L1_Cache_Controller.v
| 2,617 |
module MODULE1
(
input clk,
input rst,
input VAR1,
input VAR9,
input VAR20,
input VAR4,
output VAR10,
output VAR2,
output VAR8,
output VAR21,
output VAR15,
output VAR14
);
wire VAR19 = VAR5.VAR19;
reg VAR18;
reg VAR17;
reg VAR3;
reg VAR12;
assign VAR21 = VAR18;
assign VAR15 = VAR17;
assign VAR14 = VAR12;
wire VAR11;
assign VAR10 = VAR1 || VAR9;
assign VAR2 = VAR3 || VAR11;
assign VAR11 = VAR20 && VAR9;
assign VAR8 = ~VAR20 && (VAR1 || VAR9) ;
parameter VAR6 = 2'd0,
VAR16 = 2'd1,
VAR7 = 2'd2,
VAR13 = 2'd3;
reg [1:0] state;
always @ (posedge clk or negedge rst) begin
if(~rst)
begin
state <= VAR6;
VAR18 <= 1'b0;
VAR17 <= 1'b0;
VAR3 <= 1'b0;
VAR12 <= 1'b0;
end
else
begin
case(state)
VAR6:
begin
if(VAR1 || VAR9)
begin
state <= VAR16;
end
else
begin
state <= VAR6;
end
end
VAR16:
begin
if(VAR20)
begin
VAR18 <= 1'b0;
VAR17 <= 1'b0;
VAR3 <= 1'b0;
VAR12 <= 1'b0;
state <= VAR6;
end
else if(VAR4 && ~VAR20)
begin
VAR18 <= 1'b1;
VAR17 <= 1'b1;
VAR3 <= 1'b0;
VAR12 <= 1'b1;
state<= VAR13;
end
else if(~VAR4 && ~VAR20)
begin
VAR18 <= 1'b1;
VAR17 <= 1'b0;
VAR3 <= 1'b1;
VAR12 <= 1'b0;
state <= VAR7;
end
else
begin
VAR18 <= 1'b0;
VAR17 <= 1'b0;
VAR3 <= 1'b0;
VAR12 <= 1'b0;
state <= VAR6;
end
end
VAR7:
begin
if(VAR19)
begin
state <= VAR16;
end
else
begin
state <= VAR7;
end
end
VAR13:
begin
if(VAR19)
begin
VAR18 <= 1'b1;
VAR17 <= 1'b0;
VAR3 <= 1'b1;
VAR12 <= 1'b0;
state <= VAR7;
end
else
begin
state <= VAR13;
end
end
endcase
end
end
endmodule
|
gpl-3.0
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.